Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Similar documents
EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

The Linear-Feedback Shift Register

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

GMU, ECE 680 Physical VLSI Design 1

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Clocking Issues: Distribution, Energy

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Issues on Timing and Clocking

Lecture 27: Latches. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M.

Digital Integrated Circuits A Design Perspective

EE241 - Spring 2006 Advanced Digital Integrated Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Digital VLSI Design. Lecture 8: Clock Tree Synthesis

Itanium TM Processor Clock Design

Designing Sequential Logic Circuits

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Implementation of Clock Network Based on Clock Mesh

Lecture 9: Sequential Logic Circuits. Reading: CH 7

UNIVERSITY OF CALIFORNIA

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Lecture 21: Packaging, Power, & Clock

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

Skew-Tolerant Circuit Design

CMPEN 411. Spring Lecture 18: Static Sequential Circuits

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum.

Problem Set 9 Solutions

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Timing Analysis with Clock Skew

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Circuit A. Circuit B

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Final presentations May 8, 1-5pm, BWRC Final reports due May 7, 8pm Final exam, Monday, May :30pm, 241 Cory

MODULE III PHYSICAL DESIGN ISSUES

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Chapter 5 CMOS Logic Gate Design

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

ALU, Latches and Flip-Flops

Statistical Clock Skew Modeling with Data Delay Variations

9/18/2008 GMU, ECE 680 Physical VLSI Design

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Chapter 13. Clocked Circuits SEQUENTIAL VS. COMBINATIONAL CMOS TG LATCHES, FLIP FLOPS. Baker Ch. 13 Clocked Circuits. Introduction to VLSI

Semiconductor Memories

EE141Microelettronica. CMOS Logic

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

CMOS Inverter. Performance Scaling

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

9/18/2008 GMU, ECE 680 Physical VLSI Design

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

Signal integrity in deep-sub-micron integrated circuits

GMU, ECE 680 Physical VLSI Design

Digital Integrated Circuits A Design Perspective

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

MODULE 5 Chapter 7. Clocked Storage Elements

THE INVERTER. Inverter

Stop Watch (System Controller Approach)

TAU' Low-power CMOS clock drivers. Mircea R. Stan, Wayne P. Burleson.

Very Large Scale Integration (VLSI)

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

EECS 151/251A Homework 5

Chapter 7. Sequential Circuits Registers, Counters, RAM

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Hold Time Illustrations

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

EE115C Digital Electronic Circuits Homework #4

University of Toronto. Final Exam

EE 447 VLSI Design. Lecture 5: Logical Effort

COMP 103. Lecture 16. Dynamic Logic

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ECE321 Electronics I

EE141-Fall 2011 Digital Integrated Circuits

School of EECS Seoul National University

Transcription:

Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha Chandrakasan,i Borivoje Nikolić en el llibre Digital Integrated Circuits, A design perspective ) 1

When synchronized circuits are employed a clock signal must be distributed to all of the clocked storage elements in a clock domain with a minimum of skew and jitter. Whether the clock domain is an entire system spanning many cabinets or a small portion of a chip, clock distribution is a difficult problem. Variations in wire delays and driver delays, a non-uniform and possible time-varying clocl load, and noise all add to the uncertainty of the clock 2

Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 3

Timing Definitions 4

Latch Parameters D Q Clk Clk D PW m t hold T t su Q t c-q t d-q Delays can be different for rising and falling data transitions 5

Register Parameters D Q Clk Clk T D t hold t su Q t c-q Delays can be different for rising and falling data transitions 6

Clock Uncertainties 4 Power Supply Devices 2 3 Interconnect 6 Capacitive Load 1 Clock Generation 5 Temperature 7 Coupling to Adjacent Lines Sources of clock uncertainty 7

Clock Nonidealities Clock skew Spatial variation in temporally equivalent clock edges for 2 given signals; mainly deterministic, t SK Clock jitter Temporal variations in consecutive edges of the same clock signal; random noise Cycle-to-cycle (short-term) t JS Long term t JL Variation of the pulse width Important for level sensitive clocking 8

Clock Skew # of registers Earliest occurrence of Clk edge Nominal δ/2 Latest occurrence of Clk edge Nominal + δ /2 Insertion delay Max Clk skew Clk delay δ 9

Positive and Negative Skew In R1 D Q Combinational Logic R2 D Q Combinational Logic R3 D Q CLK t CLK1 t CLK2 t CLK3 delay (a) Positive skew delay In R1 D Q Combinational Logic R2 D Q Combinational Logic R3 D Q t CLK1 t CLK2 t CLK3 delay delay CLK (b) Negative skew 10

Positive Skew T CLK + δ CLK1 1 δ T CLK 3 CLK2 2 4 δ + t h Launching edge arrives before the receiving edge 11

Negative Skew T CLK + δ CLK1 1 T CLK 3 CLK2 2 δ 4 Receiving edge arrives before the launching edge 12

Timing Constraints In R1 D Q Combinational Logic R2 D Q CLK t CLK1 t CLK2 t c q t c q, cd t su, t hold t logic t logic, cd Minimum cycle time: T - δ = t c-q + t su + t logic Worst case is when receiving edge arrives early (positive δ) 13

Clock Skew and Jitter Clk t SK Clk t JS Both skew and jitter affect the effective cycle time Only skew affects the race margin 14

Timing Constraints In R1 D Q Combinational Logic R2 D Q CLK t CLK1 t CLK2 t c q t c q, cd t su, t hold t logic t logic, cd Hold time constraint: t (c-q, cd) + t (logic, cd) > t hold + δ Worst case is when receiving edge arrives late Race between data and clock 15

Impact of Jitter 2 T CLK 5 CLK 1 3 4 -t ji tte r t jitter 6 In REGS CLK t c-q, t c-q, cd t su, t hold t jitter Combinational Logic t logic t logic, cd 16

Longest Logic Path in Edge-Triggered Systems Clk T Clk-Q T T LM T SU T JI + δ Latest point of launching Earliest arrival of next cycle 17

Clock Constraints in Edge-Triggered Systems If launching edge is late and receiving edge is early, the data will not be too late if: T c-q + T LM + T SU < T T JI,1 T JI,2 - δ Minimum cycle time is determined by the maximum delays through the logic T c-q + T LM + T SU + δ + 2 T JI < T Skew can be either positive or negative 18

How to counter Clock Skew? Negative Skew REG φ REG. REG log Out In REG φ φ Positive Skew φ Clock Distribution Data and Clock Routing 19

Sources of Skew and Jitter Sources of Skew and Jitter Clock uncertainty: systematic or random. Clock uncertainty: static or time-varying (1) Clock signal generation (2) Manufacturing device variations (3) Interconnect variations (4) Environmental variations (5) Capacitive coupling (6) Data-dependent clock jitter 20

Clock Distribution H-tree 4x4 processor array CLK Clock is distributed in a tree-like fashion 21

More realistic H-treeH [Restle98] 22

The Grid System Driver GCLK GCLK Driver Driver GCLK No rc-matching Large power Driver GCLK 23

Example: DEC Alpha 21164 (0.5 µm) Clock Frequency: 300 MHz - 9.3 Million Transistors Total Clock Load: 3.75 nf (dynamic logic) Power in Clock Distribution network : 20 W (out of 50) Uses Two Level Clock Distribution: Single 6-stage driver at center of chip Secondary buffers drive left and right side clock grid in Metal3 and Metal4 Total driver size: 58 cm! 24

21164 Clocking t rise = 0.35ns t cycle = 3.3ns Clock waveform final drivers pre-driver Location of clock driver on die t skew = 150ps 2 phase single wire clock, distributed globally 2 distributed driver channels Reduced RC delay/skew Improved thermal distribution 3.75nF clock load 58 cm final driver width Local inverters for latching Conditional clocks in caches to reduce power More complex race checking Device variation 25

26

Clock Skew in Alpha Processor 27

EV6 (Alpha 21264) Clocking 600 MHz 0.35 micron CMOS t cycle = 1.67ns t rise = 0.35ns Global clock waveform t skew = 50ps PLL 2 Phase, with multiple conditional buffered clocks 2.8 nf clock load 40 cm final driver width Local clocks can be gated off to save power Reduced load/skew Reduced thermal issues Multiple clocks complicate race checking 28

21264 Clocking 29

ps 5 10 15 20 25 30 35 40 45 50 EV6 Clock Results ps 300 305 310 315 320 325 330 335 340 345 GCLK Skew (at Vdd/2 Crossings) GCLK Rise Times (20% to 80% Extrapolated to 0% to 100%) 30

EV7 Clock Hierarchy Active Skew Management and Multiple Clock Domains NCLK (Mem Ctrl) + widely dispersed drivers DLL DLL DLL + DLLs compensate static and lowfrequency variation + divides design and verification effort L2L_CLK (L2 Cache) GCLK (CPU Core) PLL L2R_CLK (L2 Cache) - DLL design and verification is added work SYSCLK + tailored clocks 31

Moreover Clock lines are the most active of the lines in a synchronous system. Consequently they are the main factor for electromagnetic interference (EMI), noise coupling and consumption. 32