Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Similar documents
Introduction to / Status of Directed Self- Assembly

SEMATECH Knowledge Series 2010

Next: 193nm Lithography

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

NanoImprint Materials. March, IBM Almaden Research Center

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Effect of PAG Location on Resists for Next Generation Lithographies

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

World-wide Standardization Effort on Leaching Measurement Methodology

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Particle Generation during Photoresist Dissolution

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

DUV Positive Photoresists

Cost Implications of EUV Lithography Technology Decisions

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Tilted ion implantation as a cost-efficient sublithographic

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Pattern Transfer- photolithography

Development of Lift-off Photoresists with Unique Bottom Profile

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Screening of basic resist materials and PAGs for EUV-Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Cost of Ownership Considerations for Maskless Lithography

Photolithography II ( Part 1 )

Three Approaches for Nanopatterning

Current Status of Inorganic Nanoparticle Photoresists

Optical Proximity Correction

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Advances in Step and Flash Imprint Lithography

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS

Application of polymers to photoresist materials

Silicone brushes: Omniphobic Surfaces with Low Sliding Angle

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Polymer Matrix Effects on EUV Acid Generation

A Parameter Extraction Framework for DUV Lithography Simulation

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Resist material for negative tone development process

Introduction. Photoresist : Type: Structure:

Recent progress in nanoparticle photoresist development for EUV lithography

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

Nanostructures Fabrication Methods

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Overview of the main nano-lithography techniques

Modeling Solvent Effects in. Optical Lithography

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Top down and bottom up fabrication

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

A Simple Model of Line-Edge Roughness

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

UNCONVENTIONAL PROCESSING AND CHARACTERIZATION METHODS IN LITHOGRAPHY

Lecture 14 Advanced Photolithography

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

MICRO AND NANOPROCESSING TECHNOLOGIES

EUVL Readiness for High Volume Manufacturing

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

More on Stochastics and the Phenomenon of Line-Edge Roughness

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Impact of Pellicle on Overlay in Double Patterning Lithography

EUV Lithography Towards Industrialization

Thin Wafer Handling Challenges and Emerging Solutions

Line Edge Roughness, part 2

Lecture 8. Photoresists and Non-optical Lithography

Magnetic Data Storage with Patterned Media

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

EUV Resist-Fundamental Research

Photolithography 光刻 Part II: Photoresists

Understanding Molecular Level Effects during Post Exposure Processing

Important challenge for the extension of Spacer DP process

SHRINK. STACK. INTEGRATE.

Supercritical CO 2 Processing for Submicron Imaging of Fluoropolymers

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Nanofabrication using thermal probes

Is an EUV Film Quantum Yield of 30 Possible?

The SMART Process for Directed Block Co-Polymer Self-Assembly

Spatial distribution of reaction products in positive tone chemically amplified resists

Nanolithography Techniques

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

Transcription:

Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation

Resists/Materials for Advanced Patterning Trends in Lithography and Materials Implications Chemical Amplification----unlocking the potential of lithography through materials and chemistry 193nm Lithography---materials challenges, amazing etendibility Immersion Etending Immersion (time permitting) High Inde Double Patterning Post-immersion lithography candidates EUV EB Nanoimprint The Potential of Lithography-directed Self Assembly Bob Allen - DISKCN

CA Resist Basics History of Chemically Amplified (CA) Resists Ito, Willson and Frechet (IBM San Jose) invented CA resists in the early 1980s. Initial focus was on very high speed resists for DUV (254nm lamp-based scanners). TBC resist was generation 1 (ca. 1984) First manufacturing in IBM for 4 Mb DRAM in mid 1980s IBM developed 2 nd generation positive resists (APEX, APEX-E). Still in use today! (World-wide adoption for 0.25 micron lithography) Several Generations of DUV Lithography followed (thanks to IBM s ESCAP) (1990 s) led to acceleration of Moore s law) 193nm resists followed (IBM/Fujitsu) (late 90 s) Etension of 193nm via Immersion lithography (now!) What is net? Bob Allen - DISKCN

CA Resist Basics Chemistry of Chemically Amplified Resists revolutionary change! + S X - hν H + X - epose post-epose bake H + ( CH -CH ) 2 heat + + deprotection + C 2 + H + products nonpolar ( CH -CH ) 2 H polar develop Bob Allen - DISKCN

Functional Polymers for Patterning ESCAP the prototype in functional materials design y y z Hiroshi Ito IBM Fellow H Dissolution control Adhesion etch resistance high Tg H Property control knob CA switching group Acrylic Ester/Phenolic Resist: A breakthrough in resist design Similar design concepts practiced in 193nm litho, EUV, EB Bob Allen - DISKCN

193nm Lithography Materials Alicyclic Acrylic Polymers (nonphenolic) Etch resistance and development properties were difficult to achieve Eplosion in High Performance Materials and processes helped to etend 193nm lithography to sub-40nm HP resolution. Materials hybridized from 157nm and DUV lithography helped enable immersion lithography R n F 3 C CF 3 H Bob Allen - DISKCN

Immersion Materials Resist/topcoat/fluid interfaces in I-lithography bottom element water Evaporation temp, precip air permeation particles bubbles surface energy amine contamination resist component etraction topcoat resist intermiing BARC substrate Many interfaces, all important, some more than others! Bob Allen - DISKCN

Base-soluble Topcoat Solutions beyond conventional topcoats Graded Topcoat* surface-active additive in topcoat Topcoat-free Resist surface-active additive in resist Topcoat-free Resist modified resist + low-leaching PAG Benefits Performance Resist Wafer Low etraction Moderate RCA Good performance Well established Low etraction Moderate-High RCA Higher CAs possible Lower fluorine content (less epensive) Low etraction Very high RCA Fewer process steps Very high RCAs No need to modify resist Etraction dependent upon PAG design Moderate RCA Fewer process steps nly one material Limitations Receding CA limited by acidic groups Etra process steps Receding CA limited by acidic groups Etra process steps Additive design crucial for low defectivity Uses specialized PAGs Modify resist to increase RCA Bob Allen - DISKCN * Same Concept as IBM s Graded BARC

Additive approach is more effective in topcoat-free resists Graded topcoat Topcoat-free resist Topcoat Resist Wafer Must dissolve 100+ nm Need many acidic groups for dissolution Increase hysteresis Lower receding contact angle Must dissolve ~2 nm of material Can rely on underlying photoacid to Generate acidic groups where needed After PEB! hν R f y R f y H + R f H y F 3 C CF 3 H Sanders et al. Proc. SPIE, 2008. Bob Allen - DISKCN Hydrophobic Acidic group for dissolution (eposed regions)

HFA groups can increase developer wetting y y R R acid-labile F 3 C CF 3 R acid-labile F 3 C CF 3 H Tilting drop contact angles With fluoroalcohol groups No fluoroalcohol groups water θ rec θ adv 0.26 N TMAH Sanders, Microlithography World, 2007. Fluoroalcohol groups increase hysteresis the least amongst acidic groups Bob Allen - DISKCN

Potential Successors to 193nm lithography EUV Tooling challenges, high speed resists required Sensitivity, Resolution and LER need to be achieved simultaneously EB Tooling challenges, high speed resists required Sensitivity, Resolution and LER need to be achieved simultaneously Imprint Tooling challenges, template challenges Throughput, defectivity, learning required Bob Allen - DISKCN

Directed Polymer Self-assembly (DSA) Definition: Use lithographically defined prepatterns to directed polymer self-assembly Lithographically defined prepatterns Polymer self-assembly Chemical patterns + Topographical patterns Well-defined dimension. Self-healing. Compatible with current litho tooling Challenges: Develop materials and process for litho-friendly directed self-assembly Bob Allen - DISKCN

Integration Materials an eample of litho-friendly integration materials DSA Methods Tripling Quadrupling P resist = 85 nm P resist = 115 nm y crosslinkable group Provide interface between SA and litho materials Compatible with standard litho process and materials J. Y. Cheng, D. P. Sanders, H. -C. Kim, L. K. Sundberg, SPIE Proceeding, 6921, 692127 (2008) P SA = 28.3 nm P SA = 28.8 nm Self-assembly Materials Materials for scaling Materials for clean up (self-healing) Bob Allen - DISKCN

IBM Research Poorly-defined Resist self assembly and self healing Well-defined Frequency doubled Self-assembled patterns 200 nm Defect-free directed self-assembly (hp=14.4nm) on ill-defined resist patterns (hp=28.8nm) J. Y. Cheng, C. T. Rettner, D. P. Sanders, H. -C. Kim, W. D. Hinsberg, Adv. Mater. 2008, 20, 3155-3158 Bob Allen - DISKCN