Surface Reaction Modeling for Plasma Etching of SiO 2

Similar documents
The Study of Structure Design for Dividing Wall Distillation Column

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Missing Value Estimation and Sensor Fault Identification using Multivariate Statistical Analysis

Temperature Control in Autothermal Reforming Reactor

Deuterium and fluorine radical reaction kinetics on photoresist*

Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite

경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제. Purification of p-dioxanone from p-dioxanone and Diethylene Glycol Mixture by a Layer Melt Crystallization

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

수소가스화기에서석탄의메탄화반응특성. Characteristics of Coal Methanation in a Hydrogasifier

Temperature Effect on the Retention Behavior of Sugars and Organic Acids on poly (4-vinylpyridine) Resin

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Effect of Precipitation on Operation Range of the CO 2

LECTURE 5 SUMMARY OF KEY IDEAS

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

ETCHING Chapter 10. Mask. Photoresist

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

History and Status of the Chum Salmon Enhancement Program in Korea

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

/CO/CO 2. Eui-Sub Ahn, Seong-Cheol Jang, Do-Young Choi, Sung-Hyun Kim* and Dae-Ki Choi

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Seed Production from Pond Cultured Cherry Salmon, e ll, Oncorhynchus masou (Brevoort) ll ll

Profile simulation of gas chopping based etching processes

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Reactive Etching and Surface Damage

DOE WEB SEMINAR,

Chapter 7. Plasma Basics

Atomic layer deposition of titanium nitride

Chapter 7 Plasma Basic

Gas utilization in remote plasma cleaning and stripping applications

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Learning High-Dimensional Data with Artificial Neural Networks. Université catholique de Louvain (Belgium) Machine Learning Group

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Plasma Deposition (Overview) Lecture 1

CHAPTER 6: Etching. Chapter 6 1

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model

Section 3: Etching. Jaeger Chapter 2 Reader

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Modeling for the Recovery of Organic Acid by Bipolar Membrane Electrodialysis

Chapter 6. Summary and Conclusions

Device Fabrication: Etch

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

A L A BA M A L A W R E V IE W

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

Introduction to Plasma Etching

Acidic Water Monolayer on Ruthenium(0001)

A global (volume averaged) model of a chlorine discharge

The chemistry of a CCl 2 F 2 radio frequency discharge

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology September 15, 2005 A UC Discovery Project

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

Whitney Grummon. She kick started a fire in my soul Teaching me a tool to cleanse my mind That ll last a life time. That s how I will remember

FRAUNHOFER IISB STRUCTURE SIMULATION

Feature-level Compensation & Control

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Reactive Ion Etching (RIE)

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Wet and Dry Etching. Theory

n

Film Deposition Part 1

P a g e 5 1 of R e p o r t P B 4 / 0 9

Ceramic Processing Research

Optimal Design of Generalized Process-storage Network Applicable To Polymer Processes

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

176 5 t h Fl oo r. 337 P o ly me r Ma te ri al s

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Etching: Basic Terminology

Linking the Operating Parameters of Chemical Vapor Deposition Reactors with Film Conformality and Surface Nano-Morphology

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Fabrication Technology, Part I

Years. Marketing without a plan is like navigating a maze; the solution is unclear.

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

CLUSTER SIZE DEPENDENCE OF SPUTTERING YIELD BY CLUSTER ION BEAM IRRADIATION

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Transcription:

Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 520-527 m r i Ž m m i r, q rl 561-756 r rte v v 1 664-14 (2006 9o 5p r, 2006 9o 26p }ˆ) Surface Reaction Modeling for lasma Etching of SiO 2 Thin Film YeonHo Im Nanomaterials Research Center and School of Chemical Engineering and Technology, Chonbuk National University, 664-14, 1-ga, Duckjin-dong, Duckjin-gu, Chonju 561-756, Korea (Received 5 September 2006, accepted 26 September 2006) k l l FC(fluorocarbon) v p l p pml vl q v, e v p q p r d p l p m. SiO 2 e l pp e p mlp q CSTR(continuous stirred tank reactor) rp p l pm nl p e p l. r ˆ q p e v p q pp p pm nl p q ƒ vp rk l m. p ƒ vp e q r r l mp, p e v e p pn l m. s p p ˆ p v o l e m. h Abstract A realistic surface model is presented for prediction of various surface phenomena such as polymer deposition, suppression and sputtering as a function of incidence ion energy in high density fluorocarbon plasmas. This model followed ion enhanced etching model using the well-mixed or continuous stirred tank reactor (CSTR) assumption to the surface reaction zone. In this work, we suggested ion enhanced polymer formation and decomposition mechanisms that can capture SiO 2 etching through a steady-state polymer film on SiO 2 under the suppression regime. These mechanisms were derived based on experimental data and molecular dynamic simulation results from literatures. The model coefficients are obtained from fits to available beam and plasma experimental data. In order to show validity of our model, we compared the model results to high density fluorocarbon plasma etching data. Key words: SiO 2, Etching, Surface Reaction, High Density lasma, Modeling 1. ~ lp p p rqp vr p. p o p q v rp pp, p q p tn p p [1]. p p v rp pn or p e rl ps pp v rp q p p l ~ rp rp r t rp l p rp p. er ~ rp p l p (aspect ratio)p e, p e ˆ p n. p e p o ~ lp s rp r m To whom correspondence should be addressed. E-mail: yeonhoim@chonbuk.ac.kr l v q e ~l p v p [2-3]. v p l e pp p t s l vp p pm p l vl p r. e p e rp p l e l np FC(fluorocarbon) lp ~ n pp, q p (sidewall)p l p [2]. kn, v FC q p l o l ~ lp o t pp, kp p l l p l p pp q p p l er rp m o r r p l n erp [2-3]. k v e l l p v e e, t pms (beam) e q r r p k r p l l [2-10]., e p e 520

p l p v pl t s pms p l e l l p [6-9, 11]. p p e pp Langmuir mel q pp r l er rp p o r rp n p [6-7, 9]. l p e ol FC p lv q p r ˆ e p p rk p [2-3, 9]. p e p ˆ p pv q r r p q p p q tl p [10]. Abraham-Shrauner e p e o e e pl p rr p o Langmuir mep rn q p p rk mp, p t n pqp v e p e lp ep n l r rp o l ˆ p pv m [12]. q v l p v pp vrp q p p ~ l ql e rp l p rp l n erp. l l v v v e, q r r e l l er rp q m p p m. e pp CSTR(continuous stirred tank reactor) rp p l FC q l e l ƒ vp l. l p rk p p, F pm dp l ˆ p Š mp, srp pml vp l e pl e m m. 2. m n e p e p FC(fluorocarbon) ~ n p p e p o q v l np v p n p. Fig. 1p p rp v p ˆ pm (ionization), l (excitation) (dissociation) p pp k ps pm p. l l FC v l rp ps (, F) pms p m. FC v l pp k e l p p rp mlp p [2, 3]. Fig. 2(a) Žl p p e p e p 521 Fig. 1. Schematic of plasma-surface interactions in fluorocarbon plasma. ml vp l v e pp ˆ, k l p d (sputtering) mll r e. v (deposition) lr(suppression) m lp Fig. 2(b)m p e op FC lp q l q pl p v, tn p p p r l e erp., lr mlp rp p ~ p rrp p e k l p(bowing) p rp m p p. l l lr mlp q l ps p CSTR rp p l p l m. p p s SiO 2 pp k e l llv p r r ep n m. kn, l p q r r e rp l l lr mll SiO 2 p v ps p p nl q SiO 2 l pm l p p rk m. l l rk p p p el n p v e m. 2-1. SiO 2 Ž m 2-1-1. ps p e pl ps p p Fig. 2m p v m vrrp r p q l p l e l vrrp. p p l q r r [10] e [3]l rp, l l CSTR rp p l p p m. Fm Fig. 2. (a) The etching yield as a function of ion energy based on the observed experimental trends. (b) Schematic of the model that describes the SiO 2 etching mechanism in the suppression regime. Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

522 p l Table 1. Reaction set for SiO 2 etching in fluorocarbon plasma Reaction rocess Flux dependence Surface coverage Rate coefficient Reaction sets for SiO 2 etching in ion-induced layer Reaction with F atoms [1] SiO 2 2F SiO F (g) 2 Neutral adsorption on clean SiO 2 Γ F θ SiO2 s F [2] SiO 2 F 2F I SiF O (s) 2SiO 4(g) 2(g) 2(*) Ion-enhanced chemical etching θ F Y MF [3] SiO 2 CF F SiO (s) (s) 2 x1(g) Recombination of CFx with F Γ F K Reactions with fluorocarbon species [4] SiO CF SiO CF x(g) 2 x(s) Neutral adsorption on clean SiO 2 θ SiO2 s CFx [5] SiO [CF ] I SiO x n 2 (s) Ion-activated polymer decomposition (1-θ T ) [6] SiO [CF ] I SiO x 2 2 [ ] n Ion-enhanced polymer formation θ [CFx]2 Y M [7] SiO [CF ] I SiO x 2 2 [ ] θ [CFx]2 Y MC site formation by ion bombardment [8] SiO [CF ] F I SiO x 2 (s) 2 [ ] θ [CFx]2 Y MF [9] I 2SiO 2 (s) SiF 2CO SiO x(g) 2(g) 2(*) Ion-enhanced chemical etching by Y ME Reaction sets for fluorocarbon deposition Reaction with F atoms [10] [ ] F I n(s) [CF (s) x ] n 1(s) Ion-enhanced etching of polymer θ F/ Y F Reactions with fluorocarbon species [11] [ ] CF [CF ] n(s*) x(s) x n1(s) olymer deposition * / s CFx [12] I [ ] n(s) [ ] n 1(s) consumption by ion bombardment / Y C [13] I [ ] n(s) [ ] n(s*) Ion-activated polymerization site formation / Y S p e q p pe p Table 1 p [1], [4]m [11]l ˆ l. e pp ps (F, ) p š p(surface coverage, θ) r (sticking coefficient), ps p pv kp (1-θ)l r (S) v. l l l ps p p p p p l ˆ r m. š pp q p CSTR rp p p p. ps d(γ)l ep p p p. Γ ADS = SΓ( 1 θ) p pel n r p l p n m. r m l p v pl l ps l (beam) e l l p [11, 13-15]., Gray p e p e p mlp CSTR r l r p m, l l r e l rn l r. l, Gogolides p Gray p e p q l q ˆ p pv m. l l p p p pn m, Table 2l l v ps l r ˆ l. 2-1-2. pm nl p r d (ion-enhanced chemical sputtering) e p e pp Table 1p [2]m [9]p pe p F l v d(sheath) mll pml p SiF x s p ˆ p p [9, 15]. p e rp pmp nl p r d p, e p rp p p. ER = Y θ SiFx o44 o5 2006 10k (1) (2) Table 2. Coefficients and parameters for the SiO 2 surface model Value S F 0.02 S CFx 0.9 S F/[CFx] 0.1 S CFx/[CFx] 0.1 S CFx/ 0.1 S F/ 0.01 K 0.0153 Y MF E >, 0.0457 ( E ), =4.0eV E>, 0.0361 ( E ), =4.0eV Y M /2< E <, 0.0361 ( E ), = 128 ev, Y ME E < /2, E >, 0.0150 0.0305 ( E E ), =4.0eV E >, 0.0456 ( E ), = 20.0 ev Y F E >, 0.020 ( E ), =4.0 ev Y C E >, 0.45 ( E ), = 35.0 ev Y S E >, 0.020 ( E ), =4.0 ev l, Y, θ SiFx m pm r d p(chemical sputtering yield), SiF x p š p pm d ˆ. e pp pml v ps p v pep [9]. Y = Y O ( E ) Y O m e p m e pp eq pm p l v(threshold energy) p, k e p Table 2l ˆ l. 2-1-3. r d (physical sputtering) e p e pp r d nl p l v pml p r d l p p (3)

. p e r d d p p. ER = Y( 1 θ) l, Ym 1 θ, r d p(physical sputtering yield), v l SiO 2p p pm d ˆ. r d pp pml v ps p, r d p e o Table 2l ˆ l. 2-1-4. pm nl p q v (ion-enhanced polymer formation and decomposition) e l s p pm l p q e pp ppˆ [2-3,11]. Gray p e e p k v l tn sp CF 2m Ar p m e pml vl p e m špp m [13]. p p e p e p CF 2p v e p q pp l Fig. 2(a)p d mll r q m v pn p [16]. e rl tn l p Fig. 2(a)p lr mll, p p rp p mlp v p. e q r r p p ml l q p r ˆ e e p ƒ vp rk p [2-3, 10]. kv v ƒ vl ~rp p r r p erp. l l Fig. 2(a)p r mlp o pm l p q pl p ˆ Table 1p [5-8] p p rk m., Table 1p pe [5] e l p q pm l p l e p e p q. p r ep p q p r ˆ e p p. Table 1l n q v p(y M, Y MC ) p p llrp [11], q p( )p Oehrleinp e m l m [17]. l l n p p Table 2l r l ˆ l. v p l Žp p pmp l v p nl q v pp. l Chae Schaepkens p l v pml p q v e p m [9, 18]. p l p p mp q s p l q v p. l l v mll p p p rn mp, Table 1p [10-13] Table 2l pe p ˆ l. 2-2. SiO 2 rym Ž m l l rk p v l k r s l e p r~ mll p p pp, Table 1 2l p l pe p ˆ l. rk p l l e o l F, q p špl r ˆ ve p p p. e p e p 523 (4) dθ -------- F = S (5) F ( 1 θ T )Γ F 2Y MF θ F d ------------ = S CFx ( 1 θ T ) θ [ CFx]2Γ I K Γ F 2Y ME S CFx [ CFx] dθ -------- = Y MS [ CFx] l, θ T = θ F p., [ CFx] m θ F [ CFx] e l ol F p š pp p, r ˆ ve p p. d [ CFx ] ------------------------- = S CFx [ CFx] ( Y M Y MC ) [ CFx] (8) dθ -------------------- F [ CFx ] = S (9) F [ CFx] [ CFx] Γ F Y MF θ F [ CFx] (5~9)ep lr d mll r ˆ e p v e l p e p. e p o p p p rp, = Γ F, =, Var = K 2Y ME S CFx, Var1 = Y M Y MC S F/[CFx] Var2=1Y MC Var1/Y M S CFx/[CFx] /Y M S CFx/[CFx] / Y MF (5~9)ep l s l šp p p. = 1 2Y MF S F R ---------------------------------------------------------------------------------------------------------------------------------------------- F Var2 Var2 Y Y MS MC S F [ CFx]2 VarVar1 S CFx [ 1 2Y MF S F ] (10) θ F = 1 [Var Y MC S F [ CFx]2 VarVar1 S CFx ] (11) = Var1 S CFx CFx [ CFx] = Y M θ F [ CFx] = S F [ CFx] [ ] Y MF Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006 (6) (7) (12) (13) (14) p e p e e pp lr d mll rn, s e p(si oq /pm)p p p. EY = θ F Y MF Y ME ( 1 θ T ) (15) e e p q pp l er q p l l p pm t s l r p k. l l p ˆ p v o v dl pm t s l r pn mp, q l ps p p pm (ion scattering) p evrp p l d p.

524 p l p pml vl q v l Fm q q l š p (θ F/, */ )p op e p p ep r ˆ vep p. dθ F ------------ = S F ( 1 )Γ F Y F θ F (16) dθ * ------------- = Y S ( 1 ) S CFx θ * (17) l, θ F θ * = 1p ep. op ep l l p p šp p lp p. S F S CFx/ = ------------------------------------------------------------------------------------------------------------ S F S CFx/ S CFx/Y F Y F Y S θ F θ * Y F Y S = ------------------------------------------------------------------------------------------------------------ S F S CFx/ S CFx/Y F Y F Y S srp v p(v oq /pm)p p. (18) (19) Fig. 4. Surface coverage for SiO 2 vs radical to ion flux ratio. Surfacecoverage with polymer(θ p, thin curves), with F atom (θ p, dashed curves) and with radical on SiO 2 surface. DY = S CFx R c θ * Y S ( 1 ) Y F θ F o44 o5 2006 10k (20) p p e v r l l s p šp, e v l r p lp pp, n p Table 2l ˆ l. 3. y SiO 2p pp v p tn r p t s p d, pm d pm l v l p m p. l l p prp p o t s pm d pl p ˆ l. Fig. 3p pr l p l šp p ˆ. pml v p rp v rs p 100 ev r m. v l SiO 2 l q pp qp e F d l, Fm špp r v m. p p v v e q p. Fig. 4 pr m 100 evp pml vl eˆl Fig. 3. Surface coverages vs F atom to ion flux ratio. Surface coverage with polymer(θ p, thin curves), with F atom (θ F, dashed curves) and with radical on SiO 2 surface. špp ˆ. d v l q špp v, e l vrrp l p špp p mlp sq m. po d v l l špp v, p dl q v v špp p. p p l l re p t s d l p p rp m p k p. Fig. 5 pr l m pml v l q p špp ˆ. Fig. 5(a)l p pml vl SiO 2 p q mr, p pml vl v l q špp v m. 100 }l pml v v q špp 1l or e pp pl v k. e p vrrp l p p p špp pml v v l v l p m. p m p sl pml v F/C pl e pp e q p, l l re p m pml v l m p q ˆ ppp k p. Fig. 6p l l re p Fm dp l ˆ p p. Fig. 6(a) / pl špp m p, s F/C pl e p., p F dm p dl špp p Fm p p pl p r kvp rp m p. Fig. 6(b)l q špp / pl p ˆ. p po F d rp p qp e v p. p p špp pn l e pl Fig. 7l ˆ l. 100 evp pr pml vl Fm dp l e pp p F dm rp p dl p e pp ˆ l. p rp špl e rp p r rp r l o q p p r ˆp. l l rk p ˆ p pv o r rp n

e p e p 525 Fig. 5. Surface coverage as functions of and ion energy. (a) polymer surface coverage, (b) surface coverage. Fig. 6. Surface coverage as functions of and (Ion erergy is 100 ev). (a) CFx surface coverage, (b) polymer surface coverage. p v, lr d mll v e pl Oehrlein e [17] m. p m Fig. 8 l ˆ } mll e r q p m. 70 ev p p pml vl q v p m, pml v 0l ovl q p šp v m. Fig. 8p 70 125 ev v lr m lp ˆ l l rk q v ƒ v p ˆ p k p. kn, 125 ev p p d ml e m r q p ppp k p. 4. l l s p r p, er rp m o FC v l SiO 2 e l p p m. p o s e l llv p e p v SiO 2m q p l p ƒ v p rk m. p l n p p e q r r p Š lrp, Fig. 7. SiO 2 etching yield as functions of and (Ion erergy is 100 ev). n p p e llr. l l llv ps p šp p v r Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

526 p l Fig. 8. SiO 2 etching yield as a function of ion energy ( =10 and =10). Experimental data ( ) are from Oehrlein et al. [16]. p l rp p mp, srp rk p e m l ˆ p pv m. p ~ p o n p p e rl l r p p np p. p p 2006 l qvo ll p l vo ld. o44 o5 2006 10k k DY : deposition yield (deposited atom/ion) EY : etch yield (Si atoms/ion) : F atom to ion flux ratio : radical to ion flux ratio K : recombination coefficient of with F S F : sticking coefficient of F atoms on clean SiO 2 S CFx : sticking coefficient of radicals on clean SiO 2 S F/[CFx] : sticking coefficient of F radicals on chemisorbed S CFx/[CFx] : sticking coefficient of radicals on chemisorbed S CFx/ : sticking coefficient of radicals on polymer S F/ : sticking coefficient of F radicals on polymer Y MF : ion-enhanced chemical etching yield : ion-activated polymer decomposition yield Y M : ion-enhanced polymer formation yield Y ME : ion-enhanced etch yield by : physical sputtering yield Y F : ion-enhanced etch yield of polymer with F atoms Y C : consumption yield by ion bombardment : ion-activated polymer site creation yield Y S m m Γ F : fluorine radical flux : radical flux θ F θ F/ * / : ion flux : fluorine surface coverage : surface coverage : polymer surface coverage : fluorine surface coverage on polymer : activated polymer surface coverage on polymer y 1. Sze, S. M., VLSI Technology, 2 nd ed., McGraw-Hill, New York, NY(1983). 2. Schaepkens, M. and Oehrlein, G. S., A Review of SiO 2 Etching Studies in Inductively Coupled Fluorocarbon lasmas, J. Electrochem. Soc., 148(3), C211-C221(2001). 3. Standaert, T. E. F. M., Hedlund, C., Joseph, E. A., Oehrlein, G. S. and Dalton, T. J., Role of Fluorocarbon Film Formation in the Etching of Silicon, Silicon Dioxide, Silicon Nitride, and Amorphous Hydrogenated Silicon Carbide, J. Vac. Sci. Technol. A, 22(1), 53-60(2004). 4. Im, Y. H., Hahn, Y. B. and eaton, S. J., A Leval Set Approach to Simulation of Etch rofile Evolution in a High Density lasma Etching System, J. Vac. Sci. Technol. B, 19(3), 701-710(2001). 5. Cho, B. O., Hwang, S. W., Kim, I. W. and Moon, S. H., Expression of the Si Etch Rate in a CF 4 lasma with Four Internal rocess Variables, J. Electrochem. Soc., 146(1), 350-358(1999). 6. Butterbaugh, J. W., Gray, D. C. and Sawin, H. H., lasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide, J. Vac. Sci. Technol. B, 9(3), 1461-1470(1991). 7. Chang, J.. and Sawin, H. H., Molecular-beam Study of the lasma-surface Kinetics of Silicon Dioxide and hotoresist Etching with Chlorine, J. Vac.Sci. Technol. B, 19(4), 1319-1327(2001). 8. Kimura, Y., Coburn, J. W. and Graves, D. B., Vacuum Beam Studies of Fluorocarbon Radicals and Argon Ions on Si and SiO 2 Surfaces, J. Vac. Sci. Technol. A, 22(6), 2508-2517(2004). 9. Chae, H., Vitale, S. V. and Sawin, H.GH., Silicon Dioxide Etching Yield Measurements with Inductively Coupled Fluorocarbon lasmas, J. Vac. Sci. Technol. A, 21(2), 381-387(2003). 10. Humbird, D., Graves, D. B., Hua, X. and Oehrlein, G. S., Molecular Dynamics Simulations of Ar -induced Transport of Fluorine Through Fluorocarbon Films, Appl. hys. Lett., 84(7), 1073-1075 (2004). 11. Gogolides, E., Vauvert,., Kokkoris, G., Turban, G. and Boudouvis, A. G., Etching of SiO 2 and Si in Fluorocarbon lasmas: A Detailed Surface Model Accounting for Etching and Deposition, J. Appl. hys., 88(10), 5570-5584(2000). 12. Abraham-Shrauner, B., Simulaneous Multilayer lasma Etching and Deposition of Fluorocarbon Layers on Silicon, J. Appl. hys., 94(8), 4776-4780(2003). 13. Gray, D. C., Tepermeister, I. and Sawin, H. H., henomenological Modeling of Ion-enhanced Surface Kinetics in Fluorinebased lasma Etching, J. Vac. Sci. Technol. B, 11(4), 1243-1257 (1993). 14. Gray, D. C., Sawin, H. H. and Butterbaugh, J. W., Quantification of Surface Film Formation Effects in Fluorocarbon lasma Etching of olysilicon, J. Vac. Sci. Technol. A, 9(3), 779-785(1991). 15. Butterbaugh, J.GW., Gray, D.GC. and Sawin, H.GH., lasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide, J.

e p e p 527 Vac. Sci. Technol. B, 9(3), 1461-1470(1991). 16. Jin, W. and Sawin, H. H., rofile Evolution Simulation of Oxide Fencing during Via-First Dual Damascene Etching rocess, J. Electrochem. Soc., 150(11), G711-G717(2003). 17. Oehrlein, G. S., Zhang, Y., Vender, D. and Haverlag, M., Fluorocarbon High-density lasmas. I. Fluorocarbon Film Deposition and Etching Using CF 4 and CHF 3, J. Vac. Sci. Technol. A, 12(2), 323-332(1994). 18. Schaepkens, M., Oehrlein, G. S. and Cook, J. M., Effect of Radio Frequency Bias ower on SiO 2 Feature Etching in Inductively Coupled Fluorocarbon lasmas, J. Vac. Sci. Technol. B, 18(2), 848-855(2000). Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006