University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

Similar documents
University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

Chapter 6. Synchronous Sequential Circuits

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

Example: vending machine

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Synchronous Sequential Circuit Design. Digital Computer Design

CSC 322: Computer Organization Lab

EECS 270 Midterm 2 Exam Answer Key Winter 2017

University of Toronto Faculty of Applied Science and Engineering Department of Electrical and Computer Engineering Midterm Examination

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Final Examination

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

Problem Set 9 Solutions

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1

EECS150 - Digital Design Lecture 23 - FSMs & Counters

Written exam with solutions IE Digital Design Friday 21/

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

Lecture 10: Synchronous Sequential Circuits Design

Lecture 10: Sequential Networks: Timing and Retiming

The Design Procedure. Output Equation Determination - Derive output equations from the state table

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Lecture 7: Logic design. Combinational logic circuits

CPE100: Digital Logic Design I

Models for representing sequential circuits

Total time is: 1 setup, 2 AND, 3 XOR, 1 delay = (1*1) + (2*2) + (3*3) + (1*1) = 15ns

Philadelphia University Student Name: Student Number:

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

ECE380 Digital Logic. Synchronous sequential circuits

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

Design of Datapath Controllers

Sequential Logic Worksheet

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

CPE100: Digital Logic Design I

11.1 As mentioned in Experiment 10, sequential logic circuits are a type of logic circuit where the output of

Philadelphia University Student Name: Student Number:

Review for Final Exam

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO

Laboratory Exercise #8 Introduction to Sequential Logic

14.1. Unit 14. State Machine Design

Written exam with solutions IE1204/5 Digital Design Monday 23/

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks

Software Engineering 2DA4. Slides 8: Multiplexors and More

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008

Exam for Physics 4051, October 31, 2008

EXPERIMENT Traffic Light Controller

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

CS61c: Representations of Combinational Logic Circuits

Last Name Student Number. Last Name Student Number [5] Q1. Circuit analysis. [2] (a) For the following circuit, give the truth table.

Different encodings generate different circuits

Exercise booklet - Logic

Chapter 5 Synchronous Sequential Logic

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

CSE 140 Spring 2017: Final Solutions (Total 50 Points)

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker

Design of Sequential Circuits

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

EECS 270 Midterm Exam 2 Fall 2009

Read this before starting!

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

ALU, Latches and Flip-Flops

CSE140: Design of Sequential Logic

EGC221: Digital Logic Lab


Digital Electronics Final Examination. Part A

Mealy & Moore Machines

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Fundamentals of Digital Design

Digital Logic Design - Chapter 5

State and Finite State Machines

UNIVERSITI TENAGA NASIONAL. College of Information Technology

ECE 2300 Digital Logic & Computer Organization

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

ALU A functional unit

XI STANDARD [ COMPUTER SCIENCE ] 5 MARKS STUDY MATERIAL.

6 Synchronous State Machine Design

Schedule. ECEN 301 Discussion #25 Final Review 1. Date Day Class No. 1 Dec Mon 25 Final Review. Title Chapters HW Due date. Lab Due date.

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

Sequential Logic Circuits

Synchronous Sequential Logic

University of Minnesota Department of Electrical and Computer Engineering

UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits

Gates and Flip-Flops

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Instructor: Mohsen Imani. Slides from Tajana Simunic Rosing

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

CprE 281: Digital Logic

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

Finite State Machine (FSM)

Sequential Logic Design: Controllers

Chapter 7 Logic Circuits

Transcription:

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown, J. Rose, K. Truong and B. Wang December 9, 2004 Duration: 2.5 Hours ANSWER ALL QUESTIONS ON THESE SHEETS, USING THE BACKS IF NECESSARY. Exam Type D, these specific aids allowed: i. Original Versions (no photocopies) of the course text, Fundamentals of Digital Logic with Verilog Design, by Brown & Vranesic, ISBN 0-07-282315-1. ii. One 8.5 x 11 two-sided aid sheet. The amount of marks available for each question is given in square brackets []. No calculators of any type are allowed. Cellular phones are also prohibited. LAST NAME: SOLUTIONS FIRST NAME: STUDENT NUMBER: Lecture Section: Section 01 (Rose) [ ] Section 02 (Wang) [ ] Section 03 (Brown) [ ] Section 04 (Truong) [ ] Question 1 2 3 4 5 6 7 8 9 Total Maximum 8 10 6 9 6 6 8 8 10 71 Mark Mark Obtained Page 1 of 18

[8] Q1. Consider the circuit below and the table giving various maximum propagation delays and other timing parameters for the circuit elements. Timing Parameter Maximum (ns) T INV Delay of Inverter 2.0 T AND Delay of AND 5.0 T NAND Delay of NAND 4.0 Flip-Flop T Clock-to-Q 3.0 Flip-Flop T Set-up 2.0 Flip-Flop T Hold 1.5 D Q FFA D Q D Q FFD FFB D Q FFC D Q FFE Clock (a) [4] Determine the minimum clock period for which this circuit is guaranteed to operate correctly. Show how you arrived at your answer. Answer: Period = T Clock-to-Q + T AND + T NAND + T AND + T Set-up = 3 + 5 + 4 + 5 + 2 = 19 ns 1 mark off for each missing or erroneous part of the equation, up to max of 4. Page 2 of 18

Question 1, continued (b) [4] Assume that the minimum propagation delay for all gates and the clock-to-q of the flipflops is 0.5ns. Will the hold time for the Flip-Flops labeled FFD and FFE be violated? Give an answer for both flip-flops and explain each answer. Answer: FFD has a hold time violation because Th is 1.5ns, and the minimum time for a transition on the D input to FFD is a min of Tclock-to-q (a->d) + min of Tand (d->g) = 0.5 + 0.5 = 1ns < 1.5ns -> violation. 2 marks 0.5 for answer (violation), 1.5 for explanation. FFE does not have a hold time violation. The quickest change that could occur would come through the path cfhj or cfij, both of which have a clock-to-q + min gate + min gate = 0.5 +0.5 +_0.5 = 1.5ns, just meeting the hold time, so no violation. 2 marks 0.5 for answer (no violation), 1.5 for explanation Page 3 of 18

[10] Q2. Consider the one-input (X) one-output (Out) 3-state Moore-type Finite State Machine described in the State Transition and Assignment Table given below. Notice that this is a fully-encoded state machine for which the codes for States A and C have already been assigned, but the code for State B has not yet been determined. The code for state B is listed as b 1 b 0 in the table. You are to choose the code for State B (without changing the given code for A and C) such that the total cost of the sum-of-products form of the resulting Next State logic and the Output logic is minimized. To measure cost, use the (# gates) + (# inputs) metric, in which you should include the cost of inverters. You must show all of your work in the area provided, including how you decided which encoding resulted in the best cost. At the end, you must give the circuit schematic (diagram) of the state machine. In the state transition and assignment table below, the current state (the flip-flop outputs) of the corresponding finite state machine are denoted Q 1 Q 0, and the next state (flip-flop inputs) are denoted as D 1 D 0. Current State Next State Out X = 0 X = 1 Q 1 Q 0 D 1 D 0 D 1 D 0 A 00 A 0 0 B b 1 b 0 1 B b 1 b 0 C 0 1 B b 1 b 0 0 C 01 A 0 0 B b 1 b 0 1 Below are some extra state tables that will help you determine your answer: Table for State Encoding B 10 Current State Next State Out X = 0 X = 1 Q 1 Q 0 D 1 D 0 D 1 D 0 A 00 0 0 1 0 1 B 10 0 1 1 0 0 C 01 0 0 1 0 1 D1 = X - cost =0 X/Q1Q0 00 01 11 10 0 0 0 d 0 1 1 1 d 1 D0 = X Q1 - cost = 2 gates + 3 inputs = 5 X/Q1Q0 00 01 11 10 0 0 0 d 1 1 0 0 d 0 Page 4 of 18

Out = Q1 cost = 1 gate + 1 input = 2 Q0/Q1 0 1 0 1 0 1 1 d Total cost = 0 + 5 + 2 = 7.Table for State Encoding B 11 Current State Next State Out X = 0 X = 1 Q 1 Q 0 D 1 D 0 D 1 D 0 A 00 0 0 1 1 1 B 11 0 1 1 1 0 C 01 0 0 1 1 1 Work area: D1 = X - cost = 0 X/Q1Q0 00 01 11 10 0 0 0 0 d 1 1 1 1 d D0 = = X + Q1 cost = 1 gate + 2 inputs = 3 X/Q1Q0 00 01 11 10 0 0 0 1 d 1 1 1 1 d Out = S1 cost = 1 gate + 1 input = 2 Q0/Q1 0 1 0 1 d 1 1 0 Total Cost = 0 + 3 + 2 = 5 Cost of Code 10 = 7 > Cost of Code 11 = 5 -> Code 11 is better Marking: 0.5 for each state table filled out correctly (1) 1 Mark each for D1 calculated correctly (2) 1 Mark each for each D0 calculated correctly (2) 0.5 for each Out calculated correctly (1) 1 for correct final answer total 7 Page 5 of 18

(a) [7] Answer: The Best Code for state B is: Be sure to show all of your work above, including how you determined the cost of all of the alternative codes for B. Q2, continued. (b) [3] Give the full state machine design, (using D-type flip-flops, AND, OR and NOT gates,) of the state machine that uses the encoding that results in the minimum-cost logic. Two flip-flops, one OR gate. (-1 for each error). If final answer in part (a) is wrong, check and see if circuit diagram is correct from what the state answer is. 1 mark off for each error, up to max of 3. Page 6 of 18

[6] Q3. Consider the following logic function: f (x 3,x 2,x 1,x 0 ) = Σ m (0, 4, 7, 8, 9, 12, 13, 15) (a) [3] Determine the minimal sum-of-products form for the logic function. Make use of the following Karnaugh map: x 3 x 2 x 1 x 0 00 01 11 10 00 1 1 1 1 01 0 0 1 1 11 0 1 1 0 10 0 0 0 0 Answer: f= x 1 x 0 + x 3 x 1 + x 2 x 1 x 0 Marking scheme: 1 marking for filling in the K-map correctly. 2 marks for deriving the correct function from the K-map. Page 7 of 18

Q3, continued 241 EXAM SOLUTIONS (b) [3] Implement the above function using only one 4:1 multiplexor and as many basic gates (NOT, AND, OR) as necessary. Note: full marks will be given to a solution that uses no basic gates. Solution: A 4:1 multiplexor with two selection bits (x 1,x 0 ). When (0,0), f=1; when (0,1), f=x 3 ; when (1,0), f=0; when (0,1), f=x 2. Marking scheme: 3 marks for the correct answer; 2 marks for correct answer that uses gates; 1 mark for any correct answer. Page 8 of 18

[9] Q4. 241 EXAM SOLUTIONS (a) [3] Consider the following transistor-level design of a 2-input logic gate. Derive the truth table of the output y in terms of the inputs x 1 and x 2. Place your answer in the truth table beside the circuit Put your rough work here: Solution: y = XNOR (x1,x2) Marking scheme : -1 mark for each incorrect row in the truth table, up to maximum of 3. x 1 x 2 y 0 0 1 0 1 0 1 0 0 1 1 1 Page 9 of 18

Q4, continued (b) [6] Consider the transistor level design of the 4-input gate below. Determine the truth table of the output y in terms of the inputs x 1, x 2, x 3 and x 4. For the cases where y is not driven to 0 or 1, give the answer Z. Place your answer in the truth table give at the right. x 1 x 2 x 3 x 4 y 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 Z 0 1 1 1 0 1 0 0 0 1 1 0 0 1 Z 1 0 1 0 1 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 Marking scheme : -0.5 mark for each incorrect row, up to maximum of 6. Page 10 of 18

[6] Q5. Consider the two-input, two-output State Machine described by the state transition table below. Present Next State Outputs State x 1 x 2 =00 x 1 x 2 =01 x 1 x 2 =10 x 1 x 2 =11 z 1 z 2 S 0 S 0 S 6 S 2 S 4 1 0 S 1 S 0 S 3 S 1 S 5 0 1 S 2 S 1 S 6 S 2 S 4 1 1 S 3 S 1 S 0 S 4 S 6 0 1 S 4 S 0 S 3 S 2 S 0 1 0 S 5 S 1 S 4 S 0 S 1 0 1 S 6 S 1 S 0 S 4 S 3 0 1 (a) [4] Determine the minimum number of states that could be used to implement this state machine, showing your work Solution: P 0 = (S 0 S 1 S 2 S 3 S 4 S 5 ) P 1 = (S 0 S 4 )(S 2 )(S 1 S 3 S 5 S 6 ) P 2 = (S 0 S 4 )(S 2 )(S 1 )(S 3 S 5 S 6 ) P 3 = (S 0 S 4 )(S 2 )(S 1 )(S 3 S 6 )(S 5 ) P 4 = (S 0 S 4 )(S 2 )(S 1 )(S 3 S 6 )(S 5 ) Marking scheme: 1 mark for P 0, P 1, P 2, and P 3 Page 11 of 18

Q5, continued (b) [2] Give the state transition table for the minimized state machine: 241 EXAM SOLUTIONS Present Next State Outputs State x 1 x 2 =00 x 1 x 2 =01 x 1 x 2 =10 x 1 x 2 =11 z 1 z 2 S 0 /S 4 S 0 /S 4 S 3 /S 6 S 2 S 0 /S 4 1 0 S 1 S 0 /S 4 S 3 /S 6 S 1 S 5 0 1 S 2 S 1 S 3 /S 6 S 2 S 0 /S 4 1 1 S 3 /S 6 S 1 S 0 /S 4 S 0 /S 4 S 3 /S 6 0 1 S 5 S 1 S 0 /S 4 S 0 /S 4 S 1 0 1 Marking scheme: -0.5 for each incorrect field based on the minimization in the first part, up to maximum of 2.. Page 12 of 18

[6] Q6. Consider the following two logic functions: 241 EXAM SOLUTIONS f = x g = x 1 x2 x3 x4 x5 x6 1 x2 x3 x4 x5 x6 You are to implement these two functions using a total of three 4-input lookup tables. Show what logic function has to be implemented in each of the three lookup tables by giving the logic expression as a function of its labeled inputs (in the case where there isn t a label, you should create one). Use the simplest logic expressions possible for each lookup table, using AND, OR, and NOT operators. Show your logic expression inside each lookup table, and show how the lookup tables are connected together. Note that some part marks will be given if you use four or more lookup tables, but to get full marks you have to use exactly three lookup tables. ANSWER: h = x1 x2 x3 x4 f = h x1 x4 x5 x6 g = h!x1!x4!x5!x6 note that there is nothing special about the group x1, x2, x3, x4 in the expression for h; any four inputs can be used. Also, in the expressions for f and g, there is nothing special about x1; any of the four inputs used for f can be used instead of x1. Note that the expression for g could also be written as g =!(!h + x1 + x4 + x5 + x6). The solution can be given by using logic expressions as shown here, or by drawing a circuit that has three LUTs. Marks: 2 for the expression for h, 2 for f, and 2 for g. Marks: 3 marks for a correct circuit that has four LUTs. Marks: 2 marks for a correct circuit with more than four LUTs. Page 13 of 18

[8] Q7. Consider the Verilog code for a finite state machine shown below: 241 EXAM SOLUTIONS module whatsthis (Clock, Resetn, In3, Out); input Clock, Resetn, In3; output [1:3] Out; reg [1:3] y, Y; parameter [1:3] A = 3'b000, B = 3'b001, C = 3'b010, D = 3'b011, E = 3'b100, F = 3'b101, G = 3'b110, H = 3'b111; // Define the next state combinational circuit always @(In3 or y) case (y) A: if (In3) Y = B; else Y = A; B: if (In3) Y = D; else Y = C; C: if (In3) Y = F; else Y = E; D: if (In3) Y = H; else Y = G; E: if (In3) Y = B; else Y = A; F: if (In3) Y = D; else Y = C; G: if (In3) Y = F; else Y = E; H: if (In3) Y = H; else Y = G; endcase // Define the sequential block always @(negedge Resetn or posedge Clock) if (Resetn == 0) y <= A; else y <= Y; // Define output assign Out = y; endmodule (a) [4] In the table at the top of the next page give the state-assigned table (the table that shows the state codes and not just the letters A, B, etc for the state names) for this FSM. Page 14 of 18

Q7, continued ANSWER for State-Assigned Table: In3 PS 0 1 y Y Y Out A 000 000 001 000 B 001 010 011 001 C 010 100 101 010 D 011 110 111 011 E 100 000 001 100 F 101 010 011 101 G 110 100 101 110 H 111 110 111 111 Marks: 3. If Out column is missing, give 2. For every mistake, -1 to a minimum of 0/3. (b) [4] State in words, as simply as possible, what function is performed by this FSM. ANSWER: This FSM is a shift register with the serial input In3 and the parallel output Out. If we arrange the flip-flops in the order y1 y2 y3, then it is a right-to-left shift register. If we put the flipflops in the arrangement y3 y2 y1, then the shift direction is left-to-right. Marks: 5 for fully correct answer. -1 for missing the name of serial input, -1 for missing name of parallel output, -1 for missing shift direction. Page 15 of 18

[8] Q8. A digital comparator is a logic circuit that takes two n-bit numbers A and B as input and compares the magnitude of A and B. It has three outputs, G, E, and L, where G=1 only if A>B, E=1 only if A=B, and L =1 only if A<B. A 4-bit magnitude comparator (for unsigned numbers) is to be constructed from two 2-bit comparators as shown in the diagram below. One of these will compare the high-order 2-bits (a 3 a 2 and b 3 b 2 ) of each input and the other will compare the lower 2-bits (a 1 a 0 and b 1 b 0 ). The outputs, greater (G in ), equal (E in ), and less (L in ) from the lower-bits comparator become additional inputs to the higher-bits comparator. The inputs to the lower-bits comparator are labeled G 0, E 0, and L 0. a 3 a 2 b 3 b 2 a 1 a 0 b 1 b 0 G G in G 0 E Higher-bits comparator E in Lower-bits comparator E 0 L L in L 0 (a) [2] For what values of the inputs A and B will the result of the lower-bits comparator (G in, E in and L in ) influence the overall outputs G, E and L? Explain. Your answer should not give every possible input combination you must express your answer by stating relationships between the input values of the a i, b i, G in, E in and L in. Marking Scheme: Solution: for E =1 to happen, E in must be 1; 1 mark If a 3 = b 3 and a 2 = b 2, G in or L in will affect G or L. 1 mark Page 16 of 18

Q8, continued 241 EXAM SOLUTIONS (b) [2] If both 2-bit comparator units are to contain identical logic circuits, specify, for the lowerbits comparator, what values the inputs G 0, E 0, and L 0 should have in order for the complete 4-bit comparator to operate properly. Marking Scheme: Solution: G 0 = 0, ½ mark E 0 = 1, 1 mark L 0 = 0. ½ mark (c) [3] Give a logic expression for G (recall that G = 1 if A > B) in terms of a 3, a 2, b 3, b 2 and G in. You are allowed to use the AND, OR, NOT and XOR logic operators as needed. Solution: G = a b + a b ) a b + ( a b ) ( a b ) G 3 3 ( 3 3 2 2 3 3 2 2 in Marking Scheme: ½ mark for the 1 st product term 1 ½ marks for the 2 nd product term 2 marks for the 3 rd product term Page 17 of 18

[10] Q9. A finite-state machine has one input (w) and one output (z). The input w is a serial input synchronized to a clock in a similar manner to the sequence detectors you built in lab #6. The state machine is part of a communication system that is using the following rules for transmission of data through the input w: If a 0 occurs in the input stream, then there should be an odd numbers of 0 s; if a 1 occurs, then there should be an even numbers of 1 s. The purpose of the state machine is to detect errors in the sequence that doesn t obey these rules. The output, z, should be set to 1 for one clock cycle whenever an even number of zeroes occur in the input sequence or an odd number of ones. The following pattern shows the corresponding values of w and z. w 0 0 0 1 1 1 0 1 1 0 0 1 1 1 1 z 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 Give a Moore-type state diagram for this FSM. Use as few states as possible: Solution: "reset" "even 1's" S 4 Z = 0 "odd 1's" 1 1 0 S 2 Z = 0 1 0 1 "report error" (odd 1's) S 1 Z = 0 S 6 Z = 1 1 1 0 0 0 S 3 Z = 0 S 7 Z = 1 "odd 0's" 0 0 1 "report error" (even 0's) S 5 Z = 0 "even 0's" Marking Scheme: 10 marks for seven states w/ all correct state transitions 9 or 8 marks for seven states w/ some wrong state transitions (depending on how many were wrong) 7 marks for more than seven states w/ all correct state transitions 6 or 5 marks for more than seven states w/ some wrong state transitions Page 18 of 18