Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Similar documents
Resist material for negative tone development process

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Molecular Glass Resist with Organic Developer

Development of Lift-off Photoresists with Unique Bottom Profile

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Recent progress in nanoparticle photoresist development for EUV lithography

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Current Status of Inorganic Nanoparticle Photoresists

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

EUV Lithography Status and Key Challenges for HVM Implementation

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

EUV Resist-Fundamental Research

Polymer Matrix Effects on EUV Acid Generation

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

EUVL Readiness for High Volume Manufacturing

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Introduction. Photoresist : Type: Structure:

Dry thermal development of negative electron beam resist polystyrene

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Particle Generation during Photoresist Dissolution

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Negative Tone Development: Gaining insight through physical simulation

Cost of Ownership Considerations for Maskless Lithography

Top down and bottom up fabrication

EUV Lithography Towards Industrialization

HPLC Background Chem 250 F 2008 Page 1 of 24

Chromatography- Separation of mixtures CHEM 212. What is solvent extraction and what is it commonly used for?

Effect of PAG Location on Resists for Next Generation Lithographies

Cost Implications of EUV Lithography Technology Decisions

EUREKA: A new Industry EUV Research Center at LBNL

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Harris: Quantitative Chemical Analysis, Eight Edition CHAPTER 25: CHROMATOGRAPHIC METHODS AND CAPILLARY ELECTROPHORESIS

The study for image placement repeatability of EUV mask on the flat chuck

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Optical Proximity Correction

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

CHAPTER 6 Intermolecular Forces Attractions between Particles

Measurement of the role of secondary electrons in EUV resist exposures

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

RW Session ID = MSTCHEM1 Intermolecular Forces

Patterning Challenges and Opportunities: Etch and Film

Important challenge for the extension of Spacer DP process

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

More on Stochastics and the Phenomenon of Line-Edge Roughness

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Lecture 8. Photoresists and Non-optical Lithography

CHARACTERIZING ADHESION OF PSA TAPES USING THE SHAFT LOADED BLISTER TEST

Notes on Rubber Friction

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Nanoimprint Lithography

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

A Parameter Extraction Framework for DUV Lithography Simulation

INTERMOLECULAR AND SURFACE FORCES

Stresses in Curved Beam

Fundamentals of Electron Beam Exposure

Rheology, Adhesion, and Debonding of Lightly Cross-linked Polymer Gels

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

EUV lithography industrialization for HVM

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Exam 3 Concepts! CH110 FA10 SAS 33

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Electron-beam SAFIER process and its application for magnetic thin-film heads

ANALYSIS OF LOW DENSITY PARTICLES USING DIFFERENTIAL CENTRIFUGAL SEDIMENTATION

Takeo Watanabe Center for EUVL, University of Hyogo

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1

Intermolecular Forces of Attraction. Attractive forces that cause atoms or molecules to stick together

Graduate School of Engineering

Photolithography 光刻 Part II: Photoresists

MecaWet group. Étienne Reyssat. Benoît Roman. Emmanuel Siefert. Hadrien Bense. Étienne Guyon. Miguel Trejo. Marie Tani.

Lecture 15 Strain and stress in beams

Properties of Solutions

16 years ago TODAY (9/11) at 8:46, the first tower was hit at 9:03, the second tower was hit. Lecture 2 (9/11/17)

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Vocabulary Polar Covalent Bonds Hydrogen Bonds Surface Tension Adhesion Cohesion Specific Heat Heat of Vaporation Hydrophilic Hydrophobic Diffusion Dy

Accelerated Neutral Atom Beam (ANAB)

A First Jump of Microgel; Actuation Speed Enhancement by Elastic Instability

Overview. Types of Solutions. Intermolecular forces in solution. Concentration terms. Colligative properties. Osmotic Pressure 2 / 46

Properties of Solutions

H 2 O WHAT PROPERTIES OF WATER MAKE IT ESSENTIAL TO LIFE OF EARTH? Good solvent High Surface tension Low vapor pressure High boiling point

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

CHEMISTRY. CHM202 Class #2 CHEMISTRY. Chapter 10. Chapter Outline for Class #2

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Chapter 10. Dipole Moments. Intermolecular Forces (IMF) Polar Bonds and Polar Molecules. Polar or Nonpolar Molecules?

Chapter 11. Liquids and Intermolecular Forces

Effect of microscopic heterogeneities on water transfer in frozen ground

Lab 1. Resolution and Throughput of Ion Beam Lithography

Predicting Mineral Transformations in Wet Supercritical CO 2 : The Critical Role of Water

See for options on how to legitimately share published articles.

Transcription:

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research Laboratories Page 1 February 26, 2009

Outline Current status of CAR EUV resists Pattern Collapse Microbridging Conclusions Acknowledgements Page 2 February 26, 2009

Current CAR EUV Resists Data courtesy of SEMATECH FEVS-P1201E Tr = 50 nm Resolution LWR Sensitivity Collapse (AR) ITRS HVM Specs 22 (nm)( < 2.2 (nm)( < 10 (mj/cm( 2 ) > 2.5 FEVS-P1201E 22 (nm)( 5.6 (nm)( 14.5 (mj/cm( 2 ) 2.3 Page 3 February 26, 2009

Problems with CAR for Resolution 22 nm hp Tr = 50 nm Data courtesy of SEMATECH FEVS-P1201E Target Resolution < 22 nm 1:1 Lines Profile Pattern Collapse Large impact! Microbridging Process Margin (CD Uniformity) Page 4 February 26, 2009

CAR Resist Collapse 1 bend 2 break Capillary force Mechanical Strength Collapse mode in present CAR 3 peel Adhesion AR = 2.3 Swelling Page 5 February 26, 2009

Physics of Capillary Force P: Capillary pressure gradient : : Surface tension R: Radius of curvature S: Spacing : : Contact angle of rinse liquid on resist surface Balanced with. Young s s modulus (Mechanical strength) Adhesion strength : Rinse liquid : Resist material Page 6 February 26, 2009

Neagtive Tone Resists with scco2 Dry for Collapse Issue High mechanical strength / low capillary force are promising for collapse Tr = 250 nm Tr = 250 nm TMAH Development, X-linking type negative tone EB resist Page 7 February 26, 2009

Neagtive Tone Resists Demonstrate 30 nm-hp Patterning on E-beamE Negative tone Fujifilm EB resist Similarities of EUV and EB promise the resolution below hp 30 nm on EUV Page 8 February 26, 2009

Comparison between EUV and ArFi resists X R O O R EUV resist ArFi resist Resist EUV resist FEVS-P1201E (Styrene resin) Optimal ArFi resist (Acrylic resin) Negative tone resist (X-linking type) x scco2 dry Half pitch Critical A.R. 2 cos (rel.) 22 nm 2.3 2 46 nm 3.0 1 60 nm >> 4 ~ 0 Swelling Not enough? Not enough? Not enough? Mechanical strength Page 9 February 26, 2009?? High? Further control should be required on surface tension /contact angle and swelling.

Microbridge Formation Dominated by Swelling in Development 22 nm hp patterns Data courtesy of SEMATECH Positive tone EUV resist Microbridging Developer Swelling Layer Deformation of pattern might be occur by swelling Page 10 February 26, 2009

Design Principles for Low Swelling Resists Design Principles 1 Increasing rate of (ii) + (iii) (i) < (ii) + (iii) 2 Increasing uniformity in development Uniform Development steps (i) Penetration of developer into film Hydrophilic surface (polymer) (ii) Acid-base equilibrium High pka acidic group (ionizaiton( degree) + OH - + H 2 O OH O - un-soluble Non-uniform soluble Swelling Bridging (iii) Solvation of polymer Hydrophilic polymer Low molecular weight polymer Weak intermolecular interaction polymer (iv) Diffusion into solvent layer Aggregation Page 11 February 26, 2009

Microbridge Suppression using a Polymer with Controlled Hydrophilicity QCM Analysis Dissolution Swelling Decrease Weight of film Increase Frequency (Hz) 150 100 50 0-50 -100 QCM (in 2.38% TMAH) 0 50 100 150 200 250 Time (sec) Positive tone EUV resists Controlled PHS polymer Dissolution > Penetration Uncontrolled PHS polymer Dissolution < Penetration Page 12 February 26, 2009

Microbridge Suppression using a Polymer with Controlled Hydrophilicity Trench Controlled Tr = 150 nm Uncontrolled Line and space Controlled Uncontrolled 100 nm 125 nm Residue (Microbridge) 75 nm 100 nm Residue (Microbridge) 62.5 nm Microbridge 75 nm E-beam exposure (50 kev) TMAH Development, Positive tone EUV resists Page 13 February 26, 2009

Design Principles for Low Swelling Resists Design Principles 1 Increasing rate of (ii) + (iii) (i) < (ii) + (iii) 2 Increasing uniformity in development Uniform un-soluble Non-uniform soluble Swelling Bridging Development steps (i) Penetration of developer into film Hydrophilic surface (polymer) (ii) Acid-base equilibrium High pka acidic group (ionizaiton( degree) Organic Developer! + OH - + H 2 O OH O - (iii) Solvation of polymer Hydrophilic polymer Low molecular weight polymer Weak intermolecular interaction polymer (iv) Diffusion into solvent layer Aggregation Page 14 February 26, 2009

NTD is a Good Choice for Low Swelling NTD Developer: FN-DP001 Dynamic dev.-1 45nm trench 128nm pitch NA1.2 dipole illumination Tarutani et al., SPIE 2009, 7273-11. PTD Developer: OPD5262 Dynamic dev.-2 W-1 NTD PTD W-1 Mean 43.8 nm 42.6 nm 3 x STD dev. 3.3 nm 4.0 nm W-2 W-2 Mean 42.7 nm 41.2 nm 3 x STD dev. 4.2 nm 5.1 nm Page 15 February 26, 2009

NTD is a Good Choice for Low Swelling Tarutani et al., SPIE 2009, 7273-11. NTD Developer: FN-DP001 Dynamic dev-1. frequency frequency 150 120 90 60 30 0 50 40 30 20 10 39 41 43 45 47 CD, nm 0 3 4 5 6 7 LWR, nm 43 nm trench 90 nm pitch NA1.35 dipole illumination NTD PTD Mean 43.2 nm 43.3 nm 3 x STD dev. 1.6 nm 1.4 nm Mean 4.8 nm 5.3 nm 3 x STD dev. 1.1 nm 1.2 nm frequency frequency 150 120 90 60 30 0 50 40 30 20 10 PTD Developer: OPD262 Static dev. 39 41 43 45 47 CD, nm 0 3 4 5 6 7 LWR, nm Page 16 February 26, 2009

Non-uniform Deprotection also Causes Swelling Non-uniform Swelling Bridging Half-exposed area consists of mixture of polymers Intensity (a.u.) ELSD 強度 300000 250000 200000 150000 100000 50000 HPLC 2 (8.5) 5 (16.5) Dose 5.6 (16.6) 6.6 (18.2) 10 (18.7) 0 10 12 14 16 18 20 保持時間 / min Retention Time (min) Acidity Swelling ΔFrequency (Hz) QCM 1000 800 600 400 200 0-200 - 400 FF2202-01_0mJ Δ Frequency FF2202-01_5.5mJ Δ Frequency FF2202-01 7mJ Δ Frequency 0 100 200 300 400 500 600 Time (sec) FF2202-01_5mJ Δ Frequency FF2202-01_6mJ Δ Frequency Fully exposed film (10mJ) Un exposed film Half exposed film (5, 6mJ) Page 17 February 26, 2009

Conclusions CAR resists demonstrate 22 nm-hp patterning, but pattern collapse and microbridging restrict their resolution. Capillary force is a major factor to improve collapse. Negative tone resist with low surface tension rinse might be a promising candidate for sub 20 nm-hp patterning. Microbridging is dominated by swelling and limits resolution of positive tone CAR resists. Optimization of polymer solubility enhance resolution at least on o E-beam exposure. Uniform deprotection,, development and rinse should cause further enhancement on resolution. Page 18 February 26, 2009

Acknowledgements Chawon Koh at SEMATECH Thank you for your kind attention! Page 19 February 26, 2009