Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Similar documents
ETCHING Chapter 10. Mask. Photoresist

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching: Basic Terminology

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

LECTURE 5 SUMMARY OF KEY IDEAS

Reactive Ion Etching (RIE)

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Section 3: Etching. Jaeger Chapter 2 Reader

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Taurus-Topography. Topography Modeling for IC Technology

Wet and Dry Etching. Theory

CHAPTER 6: Etching. Chapter 6 1

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

SUPPLEMENTARY INFORMATION

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Plasma Deposition (Overview) Lecture 1

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Regents of the University of California

Introduction to Photolithography

EE-612: Lecture 22: CMOS Process Steps

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Device Fabrication: Etch

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

nmos IC Design Report Module: EEE 112

Etching Capabilities at Harvard CNS. March 2008

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Fabrication Technology, Part I

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Chapter 6. Summary and Conclusions

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Profile simulation of gas chopping based etching processes

Three Approaches for Nanopatterning

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Advances in Back-side Via Etching of SiC for GaN Device Applications

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Lecture 18: Microfluidic MEMS, Applications

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

Lecture 0: Introduction

EECS C245 ME C218 Midterm Exam

CVD: General considerations.

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Monolayer Semiconductors

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Lithography and Etching

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas


Vol1 29,No1 4,pp Spectroscopy and Spectral Analysis April, 2009 DRAM. : O65713 : A DOI: / j1issn (2009)

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Plasma etching. Bibliography

Introduction. Photoresist : Type: Structure:

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV

Thin Wafer Handling Challenges and Emerging Solutions

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Tilted ion implantation as a cost-efficient sublithographic

Modeling of MEMS Fabrication Processes

Secondary ion mass spectrometry (SIMS)

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

PHYSICAL SELF-ASSEMBLY AND NANO-PATTERNING*

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Energy fluxes in plasmas for fabrication of nanostructured materials

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

b. The displacement of the mass due to a constant acceleration a is x=

ALD & ALE Tutorial Speakers and Schedule

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Chapter 7 Plasma Basic

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

MICROCHIP MANUFACTURING by S. Wolf

Deuterium and fluorine radical reaction kinetics on photoresist*

Top down and bottom up fabrication

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Transcription:

Journal of Physics: Conference Series Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures To cite this article: Rizwan Malik et al 2011 J. Phys.: Conf. Ser. 276 012078 View the article online for updates and enhancements. Related content - Foundations of low-temperature plasma enhanced materials synthesis and etching Gottlieb S Oehrlein and Satoshi Hamaguchi - Lag effect modeling and simulation Yiyong Tan, Rongchun Zhou, Haixia Zhang et al. - Cyclic deep reactive ion etching with mask replenishment T N Adam, S Kim, P-C Lv et al. This content was downloaded from IP address 148.251.232.83 on 18/11/2018 at 19:19

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures Rizwan Malik 1, Tielin Shi 2, Zirong Tang 2,, Shiyuan Liu 2 1 State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007, rizwanmalik@smail.hust.edu.cn 2 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 430074, zirong@mail.hust.edu.cn Abstract Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on High Density Plasma Etch Model. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF 6 remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design. Keywords: DRIE, Plasma modeling, Silicon deep trench, MEMS Published under licence by Ltd 1

1. INTRODUCTION The dry etching of deep trenches and contact holes in single crystal silicon is valuable for an ample variety of applications in micro-electromechanical systems (MEMS). Wet chemical etching was dually replaced in the late 1970; by a dry etching using reactive plasma in semiconductor processing technology field [1]. Reactive ion etching (RIE) that realized a directional etches profile without an undercut for excellent line delineation was established [2]. In the last 20 years, to endorse the auxiliary shrinkage and precision etching, low-pressure and higher density plasma generation were developed such as magnetron RIE [3], electron cyclotron resonance (ECR) and inductive-coupled plasma (ICP) sources. The plasma etching is especially beneficial in microfluidics because the hydrophilicity of the etched surfaces is regulated with O 2 plasma exposure after etching [4-5]. The design of the majority of micro-electro-mechanical systems (MEMS) requires silicon-etch step at some stage in production sequence because MEMS/ NEMS devices are being created commencing silicon due to sticking coefficient Sc inherent properties like material strength, miniaturization, integration, low electric power and low cost [6]. Therefore, polysilicon is used as a mature manufacturing material and to develop high aspect ratio and etch rate. As plasma etching of deep structures is complex because the etch rate depends on feature size, etch time and aspect ratio so dry etching of silicon oxide is one of the key steps not only in silicon integrated circuit (IC) fabrication but also in other applications such as the fabrication of optical wave guides [7-8]. Standard RIE of Si, used in the IC industry, utilizes a synergistic balance of chemical and physical etching to produce silicon trenches and structures with aspect ratios on the order of 5:1 [9-10]. Currently chlorine and fluorine based gases are being extensively used in plasma etching. To improve the device performance and yield, silicon etching requires a high etch rate, a vertical profile, surface morphology and low etch induced smash up. As the 2

MEMS devices entail extremely elevated structures or extremely deep trenches, now often on the order of 35:1 for which standard RIE is incapable of meeting this requirement. Only DRIE is handy for deep trenches. Previously, SF 6 and the (c-c 4 F 8 ) plasma reactions by themselves have been modeled extensively [11-12]. In this paper, we selected SF 6 gas for etching because it releases six fluorine atoms with more than 16 ev energy. These fluorine atoms then spontaneously retort with the Si material, forming volatile SiF 4. In this switching process, SF 6 etches the silicon substrate in the etch cycle and the sidewall will be coated by C 4 F 8 in the passivation cycle to prevent undercutting. Modeling of the DRIE is to be involved into the integration of two models (deposition and etch models) and include a mechanism to remove the polymer from the trench bottom during each cycle. Our followed process is modeled on the bases of High Density Plasma Etch Model as this model is capable of specifying different etch rates or depositions for different materials. This model assumes that the etching is composed of isotropical and anisotropical conditions. Comprehensive analysis of fluxes towards the surface during chemical reaction of gas is obtained by using simulation software tool named as Taurus Topography, in which numerical methods are used to describe fully the fluxes at the wafer surface for particular equipment and topographical configurations. 2. PROCESS MODELING The deposition and etch steps are performed separately on Si wafer surface to analyze the percentage of fluorine and sulphur contents during chemical reaction of SF 6 with surface. Two steps are considered at this time for derivation. In the deposition step, plasma splits apart the strained cyclic hydrocarbon (c-c 4 F 8 ) into extremely excited fragments. The individual fragments react with one another on the exposed surface and build up a more or less strongly cross-linked layer of polymer. Although many neutral and ionic species are 3

generated, the highest fluxes of species at the surface during deposition are measured to be CF 2 and C 2 F 4. The general chemical reactions are c C F + e C, F, C F, C F, C F + ξ gas phase SP 4 8 2 3 C F C F ξ C F SP adsorban & polymerize at surface 2, 2 4 + ( ) n ξ SP Where the deposited polymer (CF) n is Teflon and is SP ξ the sum of neutral and ionic species. Here sign is denoted to keep the concentration point of view closely in mind. Sidewalls are passivated by this layer of polymer during deposition step and then both polymer and silicon are etched from the base of the structure during etching step. Accurate control of anisotropy is achieved by a fine stability between etching and passivation [13].The deposition of the polymer film is affected by both etching and plasma characteristics [14-15]. Deposited polymer protects sidewalls as the etch step attacks on Si surface. The main chemical reactions occur in the gas phases of the SF 6 are k1 6 3 k2 6 2 ( ) ( ) SF + e SF + 3F + e PhaseI SF + e SF + 4F + e PhaseII (1) Where and k are phase constants in two phases (deposition and etching). At the wafer k1 2 surface, Si is etched by the following reaction F Si k3 gas solid + F ads 4Fads ( SiF4 ) gas (2) Where k 3 is the surface constant after two segments. Ion fluxes at the Si wafer surface are relatively low as compared to the F flux; therefore, SiF6 is producing an isotropic profile. By continuity equation at the surface we obtain an analytical appearance for the etch rate of equation (1) by differentiating with t, as follows 4

SF FC SC t V V 6 = knc 1 knc 2 = 0 (3) FC t SC = F + 3knC 1 + 4knC SF 2 = 0 (4) 6 V Plasma consist of several reactions, but it is renowned that the one producing SF 3 according to equation (1) accounts for about 2/3 and that producing SF 2 accounts for 1/3 of the released etching species of F [16]. During chemical reaction it is very vital to keep an eye on gas phase concentration of SF 6 and F that can be obtained from equation (3) as = 0 t, so C = S V FC V FC SC and n = (5) + kn+ kn VC ( 1 2) SF k + k 6 1 2 Where n and V denote the number of deposition / etch species and total volume of respectively. The energy supplied to the surface during etch step in the form of fluorine concentration removes the passivation from the base of the features thus exposing silicon for spontaneous etching. It can be calculated as FC V C = (3k1n+ 4 k2 n). V F S S FC SC + V VC (6) Product of fluorine and gas phase concentration in SF 6 remains identical is derived by putting value of n in equation (6) 5

C FC = V S FC SC +.( k1+ k2) V VC ( k k ) 1+ 2 (7) C FC = C FC (8) PhaseI ( deposition) = PhaseII ( etching) On the basis of silicon surface resistance coefficient Ω and gas phase concentration of Ω fluorine, etch rate is Si kc 4 3 F Ω Si V Etch Rate = k. (3k n + 4 k n). 4 FC V + 3 1 2 S S FC SC V VC (9) Putting value of n from Eq. (5), Ω Etch Rate = k k + k 4 ( ) Si V FC SC FC 3. (3 1 4 2 ). S VC k SF 1+ k 2 C 6 (10) Where Ω Si, k3, V/S, FC, SC and VC symbolize resistance of Silicon material, silicon surface constant, ratio of total gas volume to sulphur contents, fluorine concentration in SF 6, sulphur concentration in SF 6,Volume concentration of both F and S in SF 6. The negative sign in equation (10) presents evolving species during reaction. 3. SURFACE FLUX DISTRIBUTION MODELS 6

Etching process modeling of the trench bottom compared to its sides is divided into two models such as reactor as well as cosine distribution model explained beneath. 3.1. Reactor Modeling A plasma-enhanced reactor model, neglecting the gas boundary layer near the surface is shown in Figure 1. The physical processes of deposition and etch are a function of the material fluxes of reactants at the wafer s surface. Fig. 1. Distribution of the arrival fluxes at the surface Summation of all fluxes acting at the surface is x x x x x x NET = direct ( neutrals) + direct ( ions) emitted + redeposited sputtered γ γ γ γ γ γ (11) Since plasma processing is performed at relatively low temperature, therefore, a diffusion flux expression is neglected here. 3.2. Cosine distribution model The first two expressions in etch rate describe fluxes of species arriving directly from the reactor to the wafer surface. These can be calculated from the concentration and velocity of gas at the surface [9-10]. There are several models for describing the direct flux arrival with 7

time [9]. A simple method with good results for most cases is the cosine distribution of flux model, shown in Figure 2. Fig. 2. Cosine distribution model Surface modification rate at an arbitrary point M on the wafer surface depends on the normal component, or the cosine of the angle of incoming flux to the surface. Near the surface, the distribution of the arrival flux follows a cosine distribution. If γ s is the flux toward the surface, and γ n is the flux normal to the surface at point M, then o γ n γn, θ = 0 γs = ( 1+ cos θ) 2 γ n, θ = 90 2 o (12) Therefore, point M seems to have maximum incoming flux at θ =0 o. As θ increases, flux decreases until a minimum is observed at θ =90 o. Actually in plasma systems, the incident flux becomes more directional than cosine distribution. So, the generalized flux expression by using cos n ( θ ) distribution becomes γ ( ) cos n direct θ γn ( θ) =.The third expression in equation (11), the emitted flux expression, considers the fact that not all molecules stick where they arrive at the surface, and those which do not stick are re-emitted. The emitted flux expression is γ x emitted x = (1 Sc) γ, where Sc (modeled as a constant for a 8

particular system) is the ratio of the number of incident atoms stick on the surface to the total number of incident atoms. Figure 3 illustrates the Sc concepts as, Fig. 3. Sticking coefficient effects on profile evolution (a) high Sc. (b) low Sc. (c) deposition. (d) etch. Figure 3(a) presents that incident species with a high sticking coefficient react where they strike. In Figure 3(b), species with a low sticking coefficient don t stick where they first strike but re-emitted to re-deposit elsewhere. Emission/re-deposition occurs multiple times. Deposition and Emission are shown in Figure 3(c) And 3(d). Generally, ions stick at Sc =1 and chemically reactive neutrals have Sc <1. The fourth expression in Eq. (11) is the re-deposition flux, describes that species which do not stick and re-emit lands elsewhere on the surface. This is described with emitted flux expression (1 Sc) γ x emitted as γ x ' = g γ = g (1 Sc) γ x x ' x x ' x redeposited emitted emitted (13) Where, the term x x' g represents the blocking effects of local topography, which limit the probability that the emitted flux from any point x' is able to be re-deposited at any point x on 9

the surface. The redeposit flux also dependants on the sticking coefficient. The re-emitted flux is generally assumed to be re-emitted with a cos n ( θ ) distribution angle similar to that derived for direct fluxes, with no memory of the arrival angle at that point. The final term in equation (11) is the sputtered flux (energetic incoming ions). Y s is the sputtering yield that is angle sensitive. The sputtered molecules re-deposited elsewhere, and enhance the deposition rate by supplying energy that modifies the surface or drives the chemical reaction to overcome a rate-limiting step given as γ x x sputtered grind rate Ys γ ions = (14) 4. SIMULATION RESULTS AND DISCUSSION Comprehensive solutions of etch rate are obtained by using Taurus Topography software. In our simulations, the etch rate for photoresist is set much smaller than that for either polysilicon or polymer. The machine changes the polymer deposition at the same time as it changes polysilicon etching in any desired combination. The basic structure is presented by ideal depositions of polysilicon and photoresist on top of a silicon wafer, as shown in Figure 4(a). A photoresist coating of 1.5 μm over a small 3.5 μm polysilicon layer is used. We assume that each cycle will etch only about 0.6 μm to create a trench with a 35:1 aspect ratio. The photoresist layer is etched in the center to create opening in the mask of exactly 1.5 μm. The first etch perspective used is the Dry Etch Model. Etching of photoresist, etching of polysilicon, and deposition of polymer are shown in Table l. It is observed that only polymer is re-deposited during etching and so needed a defined sticking coefficient and re-emission rate. This simulation shows anisotropic etch profile, as can be seen in Figure 4(b).The 10

parameters are adjusted to produce an isotropic profile. The first two sputtering yield parameters, Sput.Y 1 and Sput.Y 2, are kept same throughout simulations. Reducing the sputter Fig. 4. (a) Basic simulation structure. (b) Dry etch model with polymer deposition. (c) Initial etch plus first deposition. yield widens the view angle, reduces the overall etching rate and increases the deposition rate. Therefore, reducing the Sput.Y 4, sputtering yield for the polysilicon produces an anisotropic profile. Unfortunately, by increasing it does not produce any perceptible difference to the etch profile, no altering the view angle. Reducing the view angle for polymer deposition, however, gives result in more sidewall deposition. Reducing the etch rate of polysilicon results in a extra rounded bottom to the trench profile. Adding a lower rate for the polysilicon with a lesser sputtering term for the polymer greatly increases the overall etch rate. Table I Initial dry etch model and second etch cycle machine parameters 11

Initial Dry Etch Model Parameters Second Etch Cycle Machine Parameters (Same etching properties) Polymer Etch Machine Polysilicon Etch Machine Material Photoresist Polysilicon Polymer Polymer Polysilicon Polysilicon Rate 0.16 1.2 0.1 2.0 0.1 1.0 Sput. Y 1 5.6 5.6 5.6-1.0-1.0-1.0 Sput. Y 2-6.1-6.1-6.1 1 1 1 Sput. Y 4 2.4 2.4 5.4 5.4 5.4 5.4 Sc N/A N/A 0.5-6.0-6.0-6.0 Ra N/A N/A 0.1 1.4 2.4 1.4 Time(min) 1.1 1.1 0.15 0.16 0.15 Next step is to define polymer deposition perspective. In this model, the polymer is only defined for the deposition machine, not for the etch machine. The original parameters are given in Table ll. Figure 4(c) show that the initial deposition process results in more polymer coating on the sidewalls than on the horizontal surfaces. This is the result of a balance between the deposition and etching parameters chosen for the deposition machine. The etching parameters are the grind-rate and the sputtering yield coefficients, Y 1, Y 2, and Y 4. The deposition parameters are characterized by the flat, un-shadowed, surface rate, the re-deposition rate, the sticking coefficient and the anisotropy. Increasing the grind-rate and decreasing the re-deposition rate decreases the overall deposition on the horizontal surfaces. Initially, the re-deposition rate is set close to zero and the grind-rate close to one. This results that almost no polymer is deposited on the trench floor. Some deposition on the horizontal surfaces is needed for the next etch step and so the grind-rate is reduced and the re-deposition rate is increased until an unbroken layer of polymer covered the trench floor. 12

Table II Initial HDP etch and deposition with second and third etch M/c parameters Initial HDP Etch and Deposition M/c Parameters Second Etch M/c Third Etch Parameters M/c (left) (right) Parameters Material Photoresist Polysilicon Polymer Polysilicon Polymer Polysilicon Rate 0.1 1.15 1.0 1.2 2.0 1.0 Anisotropy N/A 0.04 0.3 1.1 1.1-1.1 Exponent N/A 5-1.0-1.0 1 Sput. Y 1 5.6 5.6 5.6 5.6 5.6 5.6 Sput. Y 2-6.1-6.1-6.1-6.1-6.1-6.1 Sput. Y 4 1.1 1.4 1.4 2.4 1.4 2.4 Time (min) 0.4 0.3 0.2 0.5 The polymer on the sidewalls needs to stay, while the polysilicon below needs to be etched isotropically. This is accomplished by separating this step into two separate etch machines and etch times. The first etch machine etches the polymer more than the polysilicon, while the second machine etches only the polysilicon. The first machine removes the polymer from the entire floor of the trench, and leaves a good coating on the trench sidewalls. A set of time-lapse snapshots of this etch step are shown in Figure 5. Table ll outlines the parameters chosen for this etch machine. It is noted that the anisotropy is set to a negative value. This indicates that the polymer etches more laterally than vertically called a super-isotropic profile. This is necessary in order to remove the polymer from the bottom corners of the trench. Figure 5 presents that the polymer at the bottom of the trench is etched first. In reality, by 0.03 minutes, the polymer is almost completely gone. The corners of the trench, however, do not show appreciable etching until 0.09 minutes and the trench floor is not completely clear until 1.2 minutes. 13

Fig. 5. Second Etch Profile Evolution over Time (0.03 to 1.2. minutes) The second etch perspective is designed to perform a super-isotropic etch of polysilicon below the left-over polymer. The parameters chosen are shown in Table ll. The anisotropy is set to 1.1 to indicate that the polysilicon is etched more laterally than vertically. The second deposition machine parameters remained the same. The resulting profile is shown in Figure 6. (a) (b) (c) Fig. 6. Second Deposition/Etch Cycle (a) deposition. (b) Polymer Etch. (c) Polysilicon Etch. The etch machine parameters are given in Table l. Two parameters are changed from the first deposition/etch cycle, the polysilicon etch rate and the polymer sputter yield. Reducing 14

the polysilicon etch rate allows a corresponding increase in time and thus more control over the etching profile. We need the polysilicon sidewalls below the left-over polymer to bow out to allow room for the next polymer deposition. Leaving the polysilicon etch rate high for this etch machine does not give this profile. This machine is used primarily to etch through the polymer at the bottom of the trench so that the next machine could reach the polysilicon just beneath the polymer. 5. CONCLUSIONS In summary, important chemical reactions are derived mathematically in which it is proved that the product of fluorine and gas phase concentration in SF 6 remains same during deposition and etching phases. First time, a simplified flux cosine distribution reaction rate model based on continuity equation is established. In this model, it is observed that silicon etch step requires a highly isotropic component and the polymer deposition process needs a simultaneous sputtering component. This is composed of equal parts of physical etch and sputtering re-deposition. We observed that a highly directional physical etch is also required to break through the thin polymer at the bottom of the trench in order to bare silicon for the fluorinated chemical etch. A fascinating correlation is realized between deposition and etching species presents that deposition species with a high Sc, generate less conformal films and vice versa while etching species with a high Sc generate more anisotropic etch and with a low Sc result in a more isotropic etch. It is also analyzed that ions are sticky when Sc =1 and chemically reactive neutrals are originated at Sc <1. Comprehensive clarification of etch rate is attained by using simulation software tool Taurus Topography. It predicts the feasibility of process changes, in which numerical methods are used to describe the fluxes at the wafer surface for particular equipment and topographical configurations. 15

Acknowledgements The authors would like to thank Wuhan National Laboratory for Optoelectronics (WNLO) at HUST for preparation of samples. This work was partially supported by National Natural Science Foundation of China (Grant No.50875103 and 90923019). References and notes [1] H. Abe, Y. Sonobe, and T. Enomoto, Jpn. J. Appl. Phys. 12, 287 (1973). [2] S. Franssila, John Wiley & Sons Ltd. England, 125 (2004). [3] The MEMS Handbook, CRC Press, (2006). [4] K. Kolari, J. Vac. Sci. Technol. A 24. 4,1005-1011 (2006). [5] L.-M. Lacroix, Surface. Sci. 592, 182-188 (2005). [6] M. J. Madou, The Science of Miniaturization, 2nd ed., CRC Press, Boca Raton. (2001). [7] I. Sakai, K. Tomioka, T. Ohiwa, M. Sekine, T. Mimura, K. Nageseki, Int. Symp. Dry Process, Tokoyo. 57 (2001). [8] N. Takato, A. Sugita, K. Orose, H. Okazaki, M. Okuno, M. Kawachi, K. Oda, IEEE Photon. Technol. Lett. 2, 441 (1990). [9] J.D. Plummer, M.D. Deal, P.B. Griffin, Prentice Hall Electronics and VLSI Series, Prentice Hall, Inc., New Jersey (2000). [10] S. Wolf, R.N. Tauber, Lattice Press, Sunset Beach, CA (1986). [11] S. Rauf, P. Ventzek, J. Vacuum Science Technology A 20 (1), American Vacuum Society. (2002). [12] Zhang, D. Rauf, S. Sparks, Tl. Ventzek, J. Vacuum Science Technology B 21(2), American Vacuum Society. (2003). [13] K. Bhardwaj, H. Ashraf, Proc. SPIE 224. 2639 (1995). [14] M. Schaepkens, R.C.M. Bosch, T.E.F.M. Standert, G.S. Oehrlein, J.M. Cook, J. Vac. Sci. Technol. A. 16, 2099 (1998). [15] Y. Hikosaka, H. Sugai, Jpn. J. Appl. Phys. 32, 3040 (1993). [16] S. Rauf, W. Dauksher, S. Clemens, K., Smith, J. Vacuum Science Technology A 20(4), American Vacuum Society. 12 (2002). 16