Padraig Dunne, UCD School of Physics Dublin, Ireland.

Similar documents
High intensity EUV and soft X-ray X plasma sources modelling

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Pulsed-power based bright EUV light source for metrology

Optimization of laser-produced plasma light sources for EUV lithography

The Future of EUV sources: a FIRE perspective

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Utsunomiya University Experiments, September - November 2011

Dynamics of a laser-assisted Z-pinch EUV source

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Status of EUV Sources for Mask Metrology

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

EUV & Soft-X X Radiation Plasma Sources

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

EUV lithography and Source Technology

High Brightness EUV Light Source for Actinic Inspection & Microscopy

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Laser and pinching discharge plasmas spectral characteristics in water window region

High Brightness EUV Light Source System Development for Actinic Mask Metrology

EUV Lithography Towards Industrialization

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Progress in LPP EUV Source Development by Japan MEXT Project

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

EUV-Technology with Discharge EUV-Lamp"

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Evaluation at the intermediate focus for EUV Light Source

Important processes in modeling and optimization of EUV lithography sources

UC San Diego EUV Lithography Group Progress Report

Efficient EUV source by use of a micro-target containing tin nanoparticles

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Vladimir Novikov. 4 th July nd October 2015

X-Rays From Laser Plasmas

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Session # Name Company Title O/P

Dual Laser Plasma Photoabsorption Studies Of Gadolinium In The Extreme Ultraviolet Region

High Brightness EUV Light Source for Metrology

The wavelength reconstruction from toroidal spectrometer image data

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

EUV spectra from the NIST EBIT

Peculiarities of Modeling LPP Source at 6.X nm

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

EUV lithography industrialization for HVM

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

GI Collectors for EUV/BEUV Sources and Metrology Ladislav Pina Rigaku Innovative Technologies Europe, Prague 4, Czech Republic

Soft X - Ray Optics: Fundamentals and Applications

Actinic review of EUV masks: First results from the AIMS EUV system integration

MEMS Metrology. Prof. Tianhong Cui ME 8254

COST MP0601 Short Wavelength Laboratory Sources

Development and Optimization of EUV Emission from Laser Produced Plasmas

EUV Reflectivity measurements on Acktar Sample Magic Black

Comparison of EUV spectral and ion emission features from laserproduced

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

High Efficiency Collector for Laser Plasma EUV Source.

M Plan Apo. Long working distance objectives for bright field. DIMENSIONS *Mounting screws 26, thread 36 (see P.30.) SPECIFICATIONS FEATURES

2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster

Institute for Laser Technology

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Damage to Molecular Solids Irradiated by X-ray Laser Beam

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

D-D NUCLEAR FUSION PROCESSES INDUCED IN POLYEHTYLENE BY TW LASER-GENERATED PLASMA

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Developments for the FEL user facility

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site

(i.e. what you should be able to answer at end of lecture)

Overview of EUV Lithography and EUV Optics Contamination

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

PIC simulations of laser interactions with solid targets

The Repeller Field debris mitigation approach for EUV sources

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team

Fast and Slow Ligand Exchange at the Surface of Colloidal Gold Nanoparticles

Synchrotron Methods in Nanomaterials Research

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

X-ray photoelectron spectroscopy with a laser-plasma source

SUPPLEMENTARY INFORMATION

Optical and EUV studies of laser triggered Z-pinch discharges

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics

Transcription:

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN

ISAN Progress in on line MLM carbon cleaning Progress in radiative hydrodynamics modeling of LPP EUV sources (I)

Intensity, a.u. CE, % Progress in BEUV (6.X nm) source investigations CE % ( 0.6% band ), T & R transmission and reflectivity of plasma for CO2 laser radiation Optimal hole diameter Gd plasma CO2 laser Gd foil 80 um Target Gd foil 80 m thick Laser energy 600 mj Laser spot dia. 300 m Pulse duration 100 ns Power density ~10 10 W/cm 2 Target numbering (increasing hole diameter) Nd -laser Gd flat target Nd laser, 1,2 nsec, 6.620±0.018 nm 6.775±0.015 nm 0.3 IB EUV: J CO2 laser 1400 1200 1000 800 600 400 200 0 Tb Gd 6 6.5 7 7.5 Wavelength, nm Gd Tb CO 2 laser - CE = 1.8 % for Gd has been demonstrated (0,6 % bandwidth). Nd - laser; 1,2 ns, 0.3 J CE = 1.05 % for Gd and Tb (0,6 % bandwidth)

Microscopy with extreme ultraviolet and soft x-ray radiation CCD camera Schwarzschild objective Mask holder Collector EUV source high elemental contrast higher spatial resolution (20 nm) compared to light microscopy larger penetration depths (10 µm) compared to electron microscopes high throughput in dark field (scatter) mode with high sensitivity to small structures (down to 10 nm) Reflection dark field microscope for defect inspection of EUV mask blanks Deflection mirror CCD Schwarzschild Objective Object Collector EUV light Mask blank inspection: Fundamental investigations into defect detection (influence of different kind of defects on signal) Fast scanning of large surfaces with 1 µm resolution and 10 nm sensitivity Design rules for an industrial mask blank inspection tool (source, optical system, detector, interaction of EUV radiation with a defect)

University of Padova

EPPRA EPPRA (France) together with partners from School of Physics of UCD (Ireland) and KIAM (Russia) continues to substantially redevelop the Z* code to Z+ in the framework of the EU FP7 IAPP project FIRE to include improved atomic physics models and full 3-D plasma simulation of radiative plasma dynamics of EUV & soft X-ray sources. S. and V. ZAKHAROV with co-authors use improved Z* and Z+ codes: to study spectral properties and dynamics of LPP in UCD with different target materials and admixtures to examine physical properties of Laser Assisted Vacuum Arc in UCD with rotating electrodes in collaboration with TCD (Ireland) and RWTH Aachen University (Germany) to understand the stability issues of Laser Assisted DPP with rotating electrodes at TRINITI (Russia) to optimize the 80kA capillary discharge soft X-ray radiation source in water-window range with Institute of Plasma Physics and CTU in Prague (Czech) NaexStream, a French company located near Paris, started development of high brightness sources in both EUV and soft X- Ray range, for metrology and mask inspection applications.

Dublin: UCD, TCD & DCU UCD Colliding plasma work for 13.5 nm Time-resolved spectra at 13.5 nm Dilute high-z targets for 13.5 nm DPP studies at 13.5 nm Time-resolved Gd spectra for 6.x nm Ga & Ge studies for 6.x nm New grant proposal in preparation.. TCD DPP studies for 13.5 nm DCU Colliding plasma characterisation

Zurich ETHZ Laboratory for Energy Conversion (LEC) 6 years work on LPP sources New ALPS II tin droplet Since March 2013 new kw laser & droplet generator Development from ALPS I Brightness 259 W/mm 2 sr In-band EUV collectible of 5W demonstrated Adlyte is commercialising the source.

Prague Multiple Centres of Activity > 50 active researchers + ~20 students Optics, sources, applications Plasma diagnostics Universities, Institutes + RIT Europe See P53, Ladislav Pina.

ASML

ASML

IMEC

Xtreme Technologies TOKYO--(BUSINESS WIRE)--USHIO INC. (Headquarters: Tokyo, Japan; President and Chief Executive Officer: Shiro Sugata; hereinafter "USHIO") (TOKYO:6925) today announced that it will close down the activities of XTREME technologies GmbH (Headquarters: Germany; President: Tatsushi Igarashi, hereinafter "XTREME"), a research and development company for Extreme Ultraviolet (EUV) light sources for next-generation semiconductor lithography, and consolidate the EUV light source business into a single unit in Japan and continue it for inspection and development applications in the future. May 09, 2013 03:00 AM Eastern Daylight Time

Thank You