Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Similar documents
Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Roughness characterization in positive and negative resists

Spatial distribution of reaction products in positive tone chemically amplified resists

Surface roughness development during photoresist dissolution

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

EUV Resist-Fundamental Research

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Electron-beam SAFIER process and its application for magnetic thin-film heads

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Robust shadow-mask evaporation via lithographically controlled undercut

Particle Generation during Photoresist Dissolution

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Understanding Molecular Level Effects during Post Exposure Processing

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

More on Stochastics and the Phenomenon of Line-Edge Roughness

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Lecture 8. Photoresists and Non-optical Lithography

"Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition"

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane

Introduction. Photoresist : Type: Structure:

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

A Simple Model of Line-Edge Roughness

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Sensors and Metrology. Outline

Next: 193nm Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Formation of unintentional dots in small Si nanostructures

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

MSN551 LITHOGRAPHY II

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Line Edge Roughness, part 2

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Resist material for negative tone development process

Dry thermal development of negative electron beam resist polystyrene

A Parameter Extraction Framework for DUV Lithography Simulation

Direct write electron beam patterning of DNA complex thin films

DUV Positive Photoresists

Supplementary Information:

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

Nanoimprint Lithography

EUVL Readiness for High Volume Manufacturing

MICRO AND NANOPROCESSING TECHNOLOGIES

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Accurate detection of interface between SiO 2 film and Si substrate

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Disordered Structures. Part 2

We published the text from the next page.

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q

Measurement of the role of secondary electrons in EUV resist exposures

Mean end-to-end distance of branched polymers

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

EE143 LAB. Professor N Cheung, U.C. Berkeley

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Nanostructures Fabrication Methods

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Comprehensive model of electron energy deposition*

A. Optimizing the growth conditions of large-scale graphene films

Nanotechnology Fabrication Methods.

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Electrostatic effects during dissolution of positive tone photoresists

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Techniken der Oberflächenphysik (Techniques of Surface Physics)

SEMATECH Knowledge Series 2010

Development of Lift-off Photoresists with Unique Bottom Profile

Direct-Write Deposition Utilizing a Focused Electron Beam

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Spontaneous Pattern Formation from Focused and Unfocused Ion Beam Irradiation

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

CARBON NANOTUBE-POLYMER COMPOSITES: AN OVERVIEW Brian Grady University of Oklahoma

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

IC Fabrication Technology

Process window analysis for contact hole shrinking: A simulation study

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force

Analytic estimation and minimization of line edge roughness in electron-beam lithography

Transcription:

Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P. Patsis *, V. Constantoudis, E. Gogolides Institute of Microelectronics (IMEL), NCSR Demokritos, P.O. Box 60228, Aghia Paraskevi, Attiki 15310, Greece Received 9 March 2004; received in revised form 14 May 2004; accepted 3 June 2004 Available online Abstract A fast 2D/3D resist dissolution algorithm based on the critical ionization model is used to quantify line-edge roughness and determine its relation to resist polymer molecular weight, the end-to-end distance and the radius of gyration, keeping acid effects off (i.e., minimal). The algorithm permits also simulations of line-edge roughness metrology by examining the effects of SEM measurement box length. Ó 2004 Elsevier B.V. All rights reserved. Keywords: Line-edge roughness; Resist dissolution; Monte-Carlo simulation; Scaling analysis 1. Introduction * Corresponding author. Tel.: +30-210-6503-116/267; fax: +30-210-6511-723. E-mail address: gpatsis@imel.demokritos.gr (G.P. Patsis). Understanding the material origins of resist line-edge roughness (LER) is still incomplete. The effect of the lithographic processes (exposure, acid-diffusion, deprotection/cross-linking, and development) is to modulate the inherent resist material roughness and therefore to affect greatly the measured values of LER. The most sited quantity to describe roughness is the r value, i.e. the rootmean square (RMS) of the edge position fluctuations. However, it is becoming clear that the r value alone is not enough to fully quantify all aspects of roughness since it only gives information about variations in the vertical direction. A complete scaling analysis is necessary in order to fully quantify roughness of resist lines, which uses apart from r, the roughness exponent and the correlation length to characterize also the spatial aspects of roughness [1 4]. LER transferred from a patterned photoresist to a gate during the etch process may have a significant effect on the device performance beginning with the 65 nm technology node. Two factors that 0167-9317/$ - see front matter Ó 2004 Elsevier B.V. All rights reserved. doi:10.1016/j.mee.2004.06.005

2 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx make LER a great concern beyond the 90 nm node are: (1) LER does not scale in proportion to the critical dimension (CD), and (2) LER has been shown to increase as film thickness decreases [5]. However, LER does scale down as line edge length sampled decreases [1 4]. A significant challenge for this technology node is the development of a resist process with sufficiently low LER. Not much is known about how LER affects device performance and finally the acceptable amount of LER for a given technology. Work on process modelling showed that there are trade-offs between resist, diffusion, LER, and resolution, and much of the high frequency, high-amplitude roughness can be reduced through appropriate etch and implant diffusion processes [6,7]. The low frequency roughness, on the other hand, is much harder to eliminate. It is noted in [6] that the LER in the photoresist pattern has no impact on device performance as it is only the roughness that gets transferred to the polysilicon gate that maters. However, this is the initial roughness origin and the resist sidewall roughness will serve as a guide for the subsequent roughness transferred during etching and implantation. Any roughness on the photoresist sidewalls perturbs the flux of ions and neutrals to the polysilicon surface and distorts the gate that is formed. The low-frequency components of this roughness are responsible for the transferred roughness on the polysilicon gates. Therefore, one should begin by considering the decrease of resist sidewall roughness if one wants finally to reduce the polysilicon sidewall roughness [8,9]. Many experimental studies have clarified the dependence of LER upon the aerial image contrast, the used lithographic technique, the photoacid diffusion and the development process [10 13]. According to the predictions of the ITRS [14], the acceptable LER (3 r) for the 50 and 30 nm technology nodes should be 3 and 2 nm, respectively. In other words, LER should decrease to the levels of the radius of gyration of a single polymer chain of the kinds of polymers used today in commercial chemically amplified resists. Thus, the molecular nature of the resist film should be considered explicitly in LER simulations. Several resist models try indirectly to implement the molecular level interactions especially during the resist film dissolution process. Among the most representative such models are the critical ionization [15 17] and the percolation view of resist film dissolution [10,12,18 22]. Several other probabilistic like models also find applications [23,24] as well as variants of the percolation dissolution, such as the aggregate extraction dissolution model [25 31]. In this work, a fast algorithm for LER calculation in 2D and 3D is presented based on a modification of the critical ionization model [15 17]. It is implemented and used to predict the sidewall profile of positive tone resists of various molecular weights in terms of critical ionization fraction, (i.e. the fraction of deprotected monomers per chain necessary to consider the chain dissolved), and various polymerization lengths. It takes into account the microscopic processes occurring in the resist film during dissolution and therefore can be used to quantify resist roughness in a Monte Carlo simulation frame. Both 2D and 3D simulations are considered. Our purpose it to study the combined effect of resist material parameters, such as the polymerisation length distribution, the endto-end distance and the radius of gyration, along with the effects of the lithographic processes, especially acid-diffusion and dissolution, on the final measured values of LER. This article is organized as follows: In the second part the dissolution algorithm is described with emphasis on its improvements over the original critical ionization model [15 17]. In the third part, we investigate the effects of the exposure dose, the polymerization length, the acid-diffusion and the depth of measurement along the side-edge, on the obtained value of LER. Finally in the Section 4, by simulating top-down SEM images of resist lines an example of scaling analysis along the resist line-edge is given in terms of the polymerization length and the free volume in the resist matrix. 2. Resist dissolution algorithm The drawback of 3D Monte Carlo simulations based on explicit molecular consideration and not on some kind on coarse graining technique is the required CPU time to dissolve the film, espe-

G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 3 cially when large system sizes are simulated. However, dimensions of devices or even microsystems are continuously shrinking and this is in profit of Monte Carlo simulations, because lower system sizes have to be simulated, therefore more detailed interactions can be incorporated in the models. The dissolution algorithm used in this article is part of a general homemade lithography simulation program [18 20,23]. Its general structure is shown qualitatively in Fig. 1. It begins by placing in the created lattice the polymer chains, the photo-acid generator (PAG) molecules, and free volume sites (Fig. 1(a)). Then it performs initiation of the PAG molecules and diffusion of the created acid species (Fig. 1(a and b)). The sites where deprotection occurs are monitored and the fraction of deprotected sites in a polymer chain is recorded. This information is used during the dissolution algorithm, which is based on the concept of critical ionisation. In the dynamic (SLOW) execution of the algorithm, the developer diffusion in the polymer film matrix is simulated explicitly. Ionisations of deprotected sites are monitored and the fraction of ionised monomers per chain is recorded. In a subsequent step all chains in the lattice with ionisation fraction equal or greater than a threshold (the critical ionisation fraction, ) are immediately removed (Fig. 1(b and c)). The final side-edge roughness can be measured (Fig. 1(c)). The drawback of the dynamic dissolution algorithm is its great need in computation time especially in great lattices and 3D simulations. Improvement in speed is necessary in the stage of developer diffusion-monomer ionisation in the resist matrix. Thus, in a quasistatic version (FAST), the dissolution algorithm does not consider developer diffusion and deprotected monomer ionisation explicitly. Instead since the maximum ionisation fraction of each chain is known (i.e., it is equal to its deprotection fraction, acquired in the previous and computationally faster acid-diffusion stage), the algorithm immediately removes these chains with deprotection fraction equal or greater than the critical ionisation fraction, without performing cycles of developer diffusion monomer ionisation and chain removal, until the whole film thickness dissolves. The side profile shown in Fig. 2(a) simulates 36,000 polymer chains placed in a lattice of 50 nm width, 300 nm length and 50 nm height. The average polymerisation length is ÆLæ = 20, taken from a Poisson distribution, and the free volume is 10%. Dissolution is performed with the standard (SLOW, dynamic) critical ionisation model, with critical ionisation fraction of =0.7. No acid diffusion was considered explicitly. Instead, the region from 25 to 50 nm in width was considered as totally deprotected (deprotection fraction DF = 1). To perform this calculation one needs Fig. 1. Qualitative picture of process simulation: (a) material placement in lattice, and exposure; (b) acid-diffusion simulation and creation of deprotection sites. Beginning of development; (c) measurement of edge roughness.

4 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx Fig. 2. Simulation lattice. Exposure ranges from 25 to 50 nm in width and in the whole 300 nm length and 50 nm height (square pulse): (a) side resist profile obtained by dissolution with the standard critical ionisation dissolution model (SLOW); (b) side resist profile obtained with the static modified critical ionisation dissolution algorithm (FAST). =0.7. 326 CPU sec on a Pentium 4, 2.4 GHz, 1 GB RAM personal computer. In Fig. 2(a) certain problems of not dissolved chains are seen near the bottom, marked by the sharp extensions of material from the average edge position. This is due to dissolution blocking in these regions because of the chain stiffness. The developer is prohibited due to chain immobility to surround and ionise the chains, so dissolution cannot continue. The same simulation but with the modified dissolution algorithm (FAST, quasi-static) is shown in Fig. 2(b). This takes 2 CPU sec and does not suffer from the dissolution blocking of the previous profile. The gain in speed is remarkable, while at the same time the real edge profile is shown without the artificially introduced dissolution blocking of the former algorithm. The difference in the profiles obtained from the FAST (dynamic) and SLOW (quasi-static) dissolution algorithm is quantified in Fig. 3(a) and (b). In Fig. 3(a) the side surface site position distribution is shown as this is obtained from the FAST and SLOW dissolution algorithm, for two values of =0.5 and 0.7. In the case of lower critical ionisation fraction ( =0.5), the distributions obtained from the two algorithms are almost identical. However, as is indicated in the plot legend, the FAST dissolution algorithm produces the profile in 2 s while the slow one in 76. The distribution of side-surface positions of the two profiles seen in Fig. 2 is also shown in Fig. 3(a) for =0.7. Now it is clear that the SLOW dissolution algorithm starts differentiating from the FAST one at higher. Fig. 3(b) is a further comparison plot between the side-surface profiles produced by the two dis- Side Surface Site Fraction 0.35 0.30 0.25 0.20 0.15 0.10 3D Lattice: 50x300x50 nm 3 -<L>=20 =0.5 FAST ( 2 sec) SLOW (76 sec) =0.7 FAST ( 4 sec) SLOW (321 sec) Side Surface Site Fraction 1 0.1 0.01 3D Lattice: 50x300x50 nm 3 <L>=20 =0.5 =0.7 0.05 (a) 0.00 18 20 22 24 26 28 30 32 Side Surface Position (b) 1E-3-16 -14-12 -10-8 -6-4 -2 0 2 4 6 8 Difference Between FAST and SLOW Dissolution Algorithm Fig. 3. Quantitative comparison of the side-profiles obtained from FAST and SLOW dissolution algorithm: (a) distribution of sidesurface positions; (b) distribution of difference between the side-surface produced by the FAST and the SLOW dissolution algorithm.

G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 5 solution algorithms. It shows the distribution of the difference between FAST and SLOW dissolution algorithm, obtained by subtracting the side surface produced by the SLOW algorithm from the side-surface produced by the FAST one. When = 0.5, the results are almost identical, and the difference is 0 in almost 99% of the surface sites. When =0.7, the coincidence falls to 70%, due to the dissolution blocking problems discussed before. The use of the SLOW dissolution algorithm is however important, because it is the only way to obtain dissolution rate information and surface roughness data during film removal. The FAST dissolution algorithm is good to be used for LER studies. With this algorithm even the 2D simulations obtain a different meaning because now their results resemble with the top-down CD-SEM images of resist lines, and can be used again for LER and CD quantification along with scaling analysis. This will be more thoroughly examined in Section 4. The difference between the current model and the kind of coarse grained percolation dissolution models [10,12,18 22] is in the size of the grains. Its basic unit is the polymer chain monomer, the next level being the connected monomers that form chains, in contrast to the soluble site density model [22] or the percolation model with grain size of the order of magnitude of the acid species diffusion length [10,12]. Also, in our case we explicitly take into account some short of interaction of the developer with the polymer chains with which is in contact and we allow for the rinsing of chains not in contact with the developer but surrounded of dissolvable chains. Thus, the notion of percolation is integrated into our algorithm as well. However, we believe that percolation dissolution where clusters of chains are dissolved when they are completely surrounded by the developer molecules, should be more appropriate for negative tone resists [18 20,23]. Compared with the soluble-site density model of Ocola [22], we believe that our is more general in the following sense. In the former the dissolution rate is determined as a function of the soluble-site density in a region of the resist film. In our case, for example, we could consider instead of the monomers, the whole polymer chains as the basic building blocks of the resist film. Therefore, in regions equal in size to the radius of gyration of the polymer chains, the deprotected site density should also be the soluble site density, and this information could be used to remove faster those chains with greater deprotection fraction, thus differentiating the dissolution rate between unexposed and exposed film and also among regions of the exposed film with varied degrees of deprotection. In addition, in our case it is possible to relate polymer specific characteristics such as the polymerization length distribution, the polydispersity, and the distributions of radius of gyration and end-to-end distance with the finally determined LER. Finally, we make simulations of top-down SEM imaging of resist lines in the same fashion done in [21] but down to monomer size resolution. According to the aggregation extraction development model [25 31], it is the polymer aggregates that remain stack on the sidewalls of the resist film that are responsible for the generation of LER. Suppression of the size of these aggregates will result in LER minimization. This model also belongs in the category of percolation dissolution models. It assumes that the amount of free volume within polymer aggregates determines the dissolution rate. Thus, high molecular weight aggregates that result in low free volume are less soluble and if they are located near the resist sidewall will remain attached there after dissolution of the rest of the exposed pattern. Polymer aggregates formed in linear long chain polymers are due to entanglements. Since we are investigating linear polymer of low polymerization lengths (up to 40 monomers per chain, on the average, in this work), we do not consider this model suitable to perform our simulations with it. From the point of view of resemblance, our dissolution model implements the basic characteristics of the critical ionisation model [15 17]. Its main difference from that is twofold. First, it uses a dynamic memory allocated linked list of monomers, therefore accomplishes very fast search for specific chain locations in the polymer matrix. Second and most important is that it determines just after post-exposure bake the amount of deprotection each polymer chain carries and directly com-

6 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx pares it with the critical ionisation fraction threshold. If it is equal or greater, the chain is removed from the list and from the polymer matrix. This is done for all chains in a single cycle and not only for the chains that are in contact with the developer. Thus, the side-edge profile is delivered faster. 3. Results The 3D lattice used extensively in this work had dimensions 50 nm (width) 100 nm (length) 100 nm (height), with the side surface of 100 nm 100 nm. The polymer chains were linear with polymerisation length taken from a Poisson distribution. In order to isolate the effect of material origin of LER, the effect of acid-diffusion roughness modulation could be switched-off or on. This was accomplished by considering ideal exposure conditions, with sharp vertical exposure profile in exactly the desired region of the lattice as is shown in Fig. 1 (in the width-direction from 25 to 50 nm and extending in the whole length and height, thus making all the monomers in these sub-lattice deprotected). Therefore, in our simulations, aerial image contrast AIC=1, meaning that the LER is minimum from the point of view of image formation. Under such AIC conditions, there are also experimental verifications of the fact that LER is minimum. For example in [10] this was observed both for the chemically amplified positive tone UV6 resist as well as for PMMA, and for E-beam, X-ray and EUV lithography. All of shown results, if not mentioned otherwise, are from averages of five simulations with the FAST dissolution algorithm. In several graphs that follow, the results are categorized according to the switching acid-diffusion on and off. When ideal behaviour is mentioned, we mean that acid diffusion is switched off (RD- OFF), and exposure is sharp and exactly deprotects the monomers in the exposure lattice, so the measured LER is solely due to polymer chain characteristics. When acid diffusion is on (RD- ON), the measured LER is the combined behaviour of material and postexposure bake process. In that case the PAG concentration used was 2.5% and all of the PAG molecules are considered initiated, i.e. producing acid species. 3.1. Effect of exposure dose on LER The different exposure doses were simulated through varying the deprotection fraction (DF) in the exposure region, i.e. the ratio of deprotected to total number of monomers in exposure region. Dissolution was performed with the modified critical ionisation dissolution algorithm (FAST, quasi-static) in order to quantify LER, and with the standard one (SLOW, dynamic) in order to quantify surface roughness (SR). In either case, the three r values of roughness are reported, where r is the RMS-value of roughness. The measured LER vs. DF is shown in Fig. 4 for three values of (i.e. polymer dissolution difficulty). Also the contrast curve and surface roughness for the =0.3 case are shown. The bell shaped curve, known experimentally to hold for surface roughness vs exposure dose, seems to hold also in the case of LER. However, in experiments only the portion of the LER-curve, after NT is 0 will actually be measured, because only then the whole side profile has been revealed. In the case shown in Fig. 4, only LER values corresponding to DF P 0.6 could be actually measured experimentally. Lower critical ionisation fraction shift the LER-curve in lower deprotection fractions. Another observation has to do with the maximums of SR and LER. While the SR maximum Roughness (3 sigma) (nm) 30 1.0 28 3D NT 26 <L>=10 =0.3 0.9 24 0.8 22 LER 0.7 20 SR =0.8 18 =0.3 0.6 =0.5 16 =0.3 0.5 14 12 0.4 10 0.3 8 6 0.2 4 0.1 2 0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Deprotection Fraction, DF Fig. 4. LER vs DF for three values of. Average polymerisation length is ÆLæ=10, and ideal exposure conditions. RD- OFF. Normalized Thickness, NT

G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 7 is approximately in the region where NT has its steep decrease, the LER maximum is revealed when NT approaches 0. This is expected however, because only then the whole side-edge has been completely revealed. In order to reduce LER higher DFs are required or in terms of diffusion, more post-exposure time and/or higher temperature. The more difficult a polymer is dissolved (i.e. the higher the ) the more the LER vs DF curve shifts to higher DFs (i.e. higher post-exposure bake times and or temperatures). 3.2. Effect of polymerisation length on LER The material origins of LER is a subject of interesting experimental research in terms of the distribution of polymer molecular weights [32], polymer chain rigidity [33], and polymer chain aggregates [25 31]. Specifically in [33], the effect of polymer structure of LER was investigated experimentally for two conventional negative tone twocomponent resists. The authors observed that low molecular weights and dispersivities reduce LER. Also, the rigidity of the polymer chains was tested and the result was that the more rigid the polymer chain the greater the final LER. This was attributed to the difficulty of chain reorganizing its conformations during dissolution. In order to computationally verify the effect of polymerisation length through its geometrical characteristics (i.e. end-to-end distance and radius of gyration), 3D simulations were performed with the polymerisation length distributions shown in Fig. 5(a). The corresponding polymer end-to-end distance R EE distribution in each polymerisation length is shown in Fig. 5(b) and the corresponding polymer radius of gyration R G distribution in Fig. 5(c). Increasing average polymerisation length resulted in the values of LER, peak R EE and peak R G shown in Fig. 6. In the case of 3D simulations, the region of values of R G is small and between 1.5 nm for ÆLæ=10 2.5 nm for ÆLæ=40 monomers per chain. In the plot of R G vs ÆLæ the values adjacent to each point are the widths of the distribution, showing an increase of the distribution width with the polymerisation length, which is also seen in Fig. 5(c). The same trends with the poly- Chain Fraction (a) Chain Fraction (b) Chain Fraction (c) 0.14 0.13 0.12 0.11 0.10 0.09 0.08 0.07 0.06 0.05 0.04 0.03 0.02 0.01 <L>=10 <L>=20 <L>=30 <L>=40 0.00 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 0.150 0.125 0.100 0.075 0.050 0.025 <L>=10 Polymerization Length <L>=20 <L>=30 <L>=40 0.000 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 0.20 0.18 0.16 0.14 0.12 0.10 0.08 0.06 0.04 0.02 <L>=10 <L>=20 <L>=30 R EE (nm) <L>=40 0.00 0 1 2 3 4 5 6 7 8 R G (nm) Fig. 5. Distribution of: (a) Polymerisation length; (b) R EE ; (c) R G. (3D simulations). Linear polymer chains.

8 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx LER (3 sigma) (nm) Peak R 11.0 EE (nm) 12.8 3D 10.5 9 12.0 2D 10.0 11.2 10.4 9.6 8.8 8.0 7.2 6.4 5.6 4.8 4.0 3.2-1.5 9.5 9.0 8.5 8.0 3.0 7.5 7 2.8 7.0 6.5 2.6 1.5 2-1.1-1.1 6.0 2.4 5.5 6 6 2.2 2 1.5 5.0 2.0 4 5 4.5-1.1 1.8-1.6 4.0 1.5 1.6-1.3 3.5 1-1.2 3.0 1.4 4-1.1 (a) (b) (c) 2.5 1.2 10 20 30 40 10 20 30 40 10 20 30 40 Average Polymerization Length, <L> 8 4.0 3.8 3.6 3.4 3.2 Peak R G (nm) Fig. 6. (a) LER, (b) R EE, and (c) R G vs average polymerization length. DF=1.0, =0.5 in these simulations. 2 2.5 merisation length are also followed by R EE, but this distribution shows greater value spectrum and the distribution becomes much more wider with polymerisation length, as is indicated again by the values adjacent to the points or by observing Fig. 5(b). Finally LER increases with polymerisation length while the difference from the nominal edge width (i.e. the 25 nm) is depicted adjacent to the points with the negative sign indicating that average edge position is extending towards smaller positions with the polymerisation length increase (more loss in critical dimension control). Also 2D simulation results are shown in this figure for a lattice of 200 nm length and 200 nm width, with exposure in the width direction from 100 nm till 200 nm (edges like the ones shown in Fig. 9(b) are analyzed). The free volume in this case is 21% in order to keep the analogy with the 10% volume used in the 3D simulations. Ten simulations have been averaged for the 2D results. Higher LER, R EE, and R G values are seen from 2D simulations. This was expected because excluded volume constraints are greater in 2D due to the loss of the 3rd degree of freedom in space. This plot establishes the relation between the polymer chain geometrical characteristics and the resulting LER, because the effect of acid diffusion is switched-off. The simulation if for DF = 1.0. Thus, the idea that shorter polymers or even different molecular architectures that result in small R G s will also result in smaller LER is tested by these simulations. However, in order to get a more clear understanding regarding the resulting LER of chains with the same polymerisation length but different R G s, simulations with chain architectures other than the linear case, exhibiting different geometrical characteristics, should be performed. 3.3. Effect of acid-diffusion on LER It is experimentally known that acid diffusion smoothens out LER in chemically amplified resists but degrades critical dimension control [11]. This effect is simulated next. In a first series of simulations, the acid diffusion was switched-off and the deprotection fraction in exposure lattice was achieved by randomly creating deprotected sites until the desired deprotection fraction is reached. Both LER and the difference Average Edge Position Nominal Edge Position were recorded. The results are shown in Fig. 7. On the upper plot LER shown by the hollow circles replicates the fact also shown in Fig. 3, that of decreasing LER when exposure dose increases. The corresponding difference from the nominal edge position shown on the lower graph by the hollow circles shows a minimal degradation of critical dimension; since it goes away from 0 which is LER ( 3 s igma) ( nm) EdgePosition- N ominal(nm) 14 13 12 11 10 9 8 7 6 5 4 1 0.85 0.90 0.95 1.00 1.05 1.10 1.15 RD-ON 0 RD-OFF -1-2 -3-4 -5 0.85 0.90 0.95 1.00 1.05 1.10 1.15 Deprotection Fraction, DF RD-ON RD-OFF Fig. 7. Effect of diffusion range on LER and edge position. LER reduces with increasing DF.

G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 9 the ideal case where average-edge- position and nominal one coincide. When acid diffusion is switched on, LER is depicted by the hollow squares and it is seen that in order to decrease LER to comparable values as when diffusion was off, high values of diffusion range are required. The fact that DF now becomes >1.0 is due to the diffusion exceeding deeper in the non-exposed region making more monomers deprotected than these that fill in the initial exposure lattice. The interesting point here is that the corresponding difference from the nominal edge position is far from the accepted (hollow squares). In the case of low LER, the critical dimension is by 5 nm off the nominal, and this in most cases is probably unacceptable. This is the reason that acid diffusion should not be the only process used to smoothen out LER, but also the burden falls on the resist manufactures for better materials. Experimental verification of this result is given in [34]. The authors determined LER in the case of UV6 (positive tone chemically amplified ( acid-diffusion on )) and in PMMA (positive tone compatible resist ( acid-diffusion off )). For AIC>0.8 they observed that PMMA shows LER of 4 nm and UV6 around 6 nm both for isolated lines and dense lines/spaces structures. A detailed discussion on the effects of diffusion will be presented in a future publication (submitted to J. Vac. Sci. Technol. B.). 3.4. LER change with edge sidewall depth The current state of the art metrology techniques of LER are based on the use of AFM. However, due its limitations, measurement difficulties, and the slow scanning of the side surface, most measurements of LER are performed from top-down images with CD-SEM either in-line or off-line [1 4]. The accuracy of these measurements has been questioned regarding the validity of the 2D image, which is in the best case a projection of the 3D side surface, on the top. Other problems of this technique have to do with the probing depth of the SEM beam, and exactly this is simulated now. In intervals of edge depth of 10 nm increase from top to bottom, the LER quantification is performed on a side surface 100 nm 100 nm representing the profile after dissolution of ÆLæ = 10 chains and ideal exposure conditions (sharp profile, with DF=1.0 in exposure region) with and without acid-diffusion. Twenty simulations were averaged for the next results, shown on Fig. 8. Also the relative error with respect to the whole side-surface sample is recorded. The relative error here is defined as the statistical error of the measurement at a specific depth divided by the statistical error of the whole (100 100 nm 2 ) edgeprofile measurement. The average variation of LER with depth is very small both when no acid diffusion is considered (lower graph) and when acid-diffusion is considered (upper graph). Specifically the change in the LER value with depth is in the second decimal place. In both cases, the relative error of the measurement with the depth shows that probing deeper in edge surface the statistics improves and the deviation from the mean value decreases. However, it must be emphasized that the exposure here is considered as ideal. Also, it should be mentioned that if the SLOW (dynamic) dissolution algorithm is used, an increase of LER with side depth of probing is expected due to the difficulty in dissolving chains near the bottom of the resist side-wall (see Fig. 2(a)). Similar behavior has been experi- LER ( 3 s igma) ( nm) 11 10 9 8 7 6 5 4 0 10 20 30 40 50 60 70 80 90 100 110 7 No ACID DIFFUSION (RD-OFF) 6 5 4 3 2 1 With ACID DIFFUSION (RD-ON) 0 10 20 30 40 50 60 70 80 90 100 110 Edge Depth (nm) Fig. 8. Change in LER with edge depth with and without acid diffusion. In the case of acid-diffusion PAG concentration was 2.5%, and the permitted diffusion steps for each acid site was 100 (i.e. diffusion length of approximately10 nm). It is seen that LER does not vary with depth.

10 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx mentally observed in [12] for high values of aerial image contrast (AIC > 0.8). For low values of AIC (<0.6) LER decreases near the bottom of the resist film and is higher near the top. This behavior has been attribute to the longer time the resist is in contact with the developer near the top of the film as well as to acid evaporation from the film surface resulting in less acid concentration and less LER smoothing due to diffusion [12,13]. 3.5. Scaling analysis In a series of articles [1 5], it has been stated that in order to completely characterize LER, not only the RMS value (LER, here) is required but, since line edge shows self-affine behaviour, the roughness exponent and correlation length are required. The three-parameter description of LER can be made in one plot with the height height correlation function, G(r) [3,4]. Also the r vs edge length has been used for such scaling analysis [4]. One of the advantages of the current FAST dissolution simulator is that in 2D the resulting side profile resembles the top-down SEM images. So we proceeded in 2D simulation lattices in order to perform scaling analysis similar to the one performed on experimental edges from image analysis of top-down SEM images of resist lines [3,4]. However, no acid-diffusion was considered now, in order to investigate just the roughness characteristics of only the resist material. Also, in order to study the finite lattice effects in 2D lattices, simulations were performed for edges of length 100 1000 nm by step increase of 100 nm (Fig. 9(b)), and the distributions of R G and R EE, in each lattice were obtained. As is seen in Fig. 9(a) there is no finite size effects for lengths greater than 100 nm. The distributions are essentially unaffected. ÆLæ = 10 and free volume was 10% in these simulations. Performing scaling analysis on the longest edges (1000 nm) for ÆLæ = 10, 30 and free volume V F =10%, 20% consisted in monitoring the LER value on different length scales and averaging all of the same length sub-edges until the whole edge reconstructed. The results are shown in Fig. 10 and demonstrate the increase of LER with length up to about a few hundred nm. Increasing free volume increased the final value of LER. Also increasing the polymerization length, as is already seen, increased LER. It is interesting however, to observe that under 10 nm edge lengths the LER values are on the same curve irrespective of polymerization length and free volume. Finally, as is seen from this graph, in order to be certain about the measured value of LER, the edge length used for the measurement should be at least over 100 nm so as the measurement is performed in the saturation region of the curves. Chain Fraction 0.36 0.34 0.32 0.30 0.28 0.26 0.24 0.22 0.20 0.18 0.16 0.14 0.12 0.10 0.08 0.06 0.04 0.02 0.00 R G R EE 100nm 200nm 300nm 400nm 500nm 600nm 700nm 800nm 900nm 1000nm 0 1 2 3 4 5 6 7 8 9 10 11 12 R EE,R G (nm) (a) 0 100 200 300 400 500 600 700 800 900 1000 Edge Length (nm) (b) Fig. 9. (a) R EE and R G distributions for increasing edge length. (b) A sample view of the edges used for 2D scaling analysis.

G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx 11 Finally, LER vs edge length was simulated without acid-diffusion, but for two values of free volume and polymerisation length. It was shown that increasing edge length up to a few hundred nm increases LER but above this length, the value of LER saturates. Increasing free volume and polymerization length also increases LER. Acknowledgements Fig. 10. Scaling analysis of 2D edges for ÆLæ=10, 30, V F =10%, 20% and 1000 nm edge length. 4. Conclusions A fast 2D/3D dissolution simulator is constructed for the prediction of side-profile of resist structures. The bell shaped curve, known experimentally to hold for surface roughness vs exposure dose, seems to hold also in the case of LER. Quantities related to linear polymer chains such as the radius of gyration R G and end-to-end distance R EE, showed direct correlation with the resultant LER. It is verified that smaller R G or polymerisation length corresponds to smaller LER. So the conclusion is to use small R G molecules for the manufacturing of the polymer films used in lithography. Simulations verified that acid-diffusion smoothens out LER but degrades critical dimension control. This is the reason that acid diffusion should not be the only process used to smoothen out LER, but also the burden falls on the resist manufactures for materials exhibiting lower roughness characteristics. Under the ideal conditions of the simulation, there is essentially no variation in the average LER measured near the top or from the entire side surface. However, the relative error of the measurement decreases with probing side-surface. If aerial image differences are taken into account the situation should become worse with larger variations of the relative error for near surface probing compared to whole side probing. The EU project 157nm CRISPIES IST 30147 is kindly acknowledged for partial support of this work. An earlier version of this article was presented in SPIE Advances in Resist Technology and Processing 23 24 February, 2004, Santa Clara, California, USA. References [1] G.P. Patsis, V. Constantoudis, A. Tserepi, E. Gogolides, G. Grozev, T. Hoffmann, Microelectron. Eng. 67 68 (2003) 319. [2] G.P. Patsis, V. Constantoudis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B 21 (3) (2003) 1008. [3] V. Constantoudis, G.P. Patsis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B 21 (3) (2003) 1019. [4] V. Constantoudis, G.P. Patsis, E. Gogolides, Proc. SPIE 5038 (2003). [5] Eder-Kapl, H. Loeschner, M. Zeininger, O. Kirch, G.P. Patsis, V. Constantoudis, E. Gogolides, Microelectron. Eng. (to appear). [6] B.J. Ryce, H. Cao, M. Chundhok, R. Mealey, Proc. SPIE 5039 (2003) 384. [7] J. Cobb, S. Rauf, A. Thean, S. Dakhina-Murthy, T. Stephens, C. Parker, R. Peters, V. Rao, Proc. SPIE 5039 (2003) 376. [8] S. Xiong, J. Bokor, Q. Xiang, P. Fisher, I. Dudley, P. Rao, Proc. SPIE 4689 (2002) 733. [9] S. Rauf, P.J. Stout, J. Cobb, J. Vac. Sci. Technol. B 21 (2) (2003) 655. [10] J. Shin, G. Han, Y. Ma, K. Molon, F. Cerrina, J. Vac. Sci. Technol. B 19 (6) (2001) 2890. [11] M. Yoshizawa, S. Moriya, J. Vac. Sci. Technol. B 20 (2002) 1342. [12] Y. Ma, J. Shin, F. Cerrina, J. Vac. Sci. Technol. B 21 (1) (2003) 112. [13] G.W. Reynolds, J.W. Taylor, J. Vac. Sci. Technol. B 17 (1999) 334. [14] Available from: <http://public.itrs.net/files/2002update/ 2002Update.pdf>.

12 G.P. Patsis et al. / Microelectronic Engineering xxx (2004) xxx xxx [15] L.W. Flanagin, V.K. Singh, C.G. Willson, J. Pol. Sci. Part B 37 (1999) 2103. [16] S.D. Burns, G.M. Schmid, P.C. Tsiartas, C.G. Willson, J. Vac. Sci. Technol. B 20 (2) (2002) 537. [17] G.M. Schmid, S.D. Burns, P.C. Tsiartas, C.G. Wilson, J. Vac. Sci. Technol. B 20 (6) (2002) 2913. [18] G.P. Patsis, N. Glezos, I. Raptis, E.S. Valamontes, J. Vac. Sci. Technol. B 17 (1999) 3367. [19] G.P. Patsis, A. Tserepi, I. Raptis, N. Glezos, E. Gogolides, E.S. Valamontes, J. Vac. Sci. Technol. B 18 (2000) 3292. [20] G.P. Patsis, E. Gogolides, Microelectron. Eng. 57 58 (2001) 563. [21] L.E. Ocola, P.A. Orphanos, W.-Y. Li, W. Waskeiwicz, A.E. Novembre, M. Sato, J. Vac. Sci. Technol. B 18 (6) (2000) 3435. [22] L.E. Ocola, J. Vac. Sci. Technol. B 21 (1) (2003) 156. [23] G.P. Patsis, N. Glezos, E. Gogolides, J. Vac. Sci. Technol. B 21 (2003) 254. [24] R.L. Brainard, P. Trefonas, J.H. Lammers, C.A. Cutler, J.F. Mackevich, A. Trefonas, S.A. Robertsona, Proc. SPIE, Advancements in Resist Technology (2004). [25] T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki, K. Kurihara, Appl. Phys. Lett. 71 (1997) 2388. [26] T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki, K. Kurihara, Proc. SPIE 3333 (1998) 830. [27] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, K. Kurihara, J. Vac. Sci. Technol. B 16 (1998) 69. [28] T. Yamaguchi, H. Namatsu, M. Nagase, K. Kurihara, Proc. SPIE 3678 (1999) 617. [29] H. Namatsu, T. Yamaguchi, K. Kurihara, Mater. Res. Soc. Symp. Proc. 584 (2000) 135. [30] T. Yamaguchi, H. Namatsu, Proc. SPIE 4690 (2002) 921. [31] T. Yamaguchi, K. Yamazaki, M. Nagase, H. Namatsu, Jpn. J. Appl. Phys. 42 (2003) 3755. [32] H. Shiraishi, T. Yoshimura, T. Sakamizu, T. Ueno, S. Okazaki, J. Vac. Sci. Technol. B 12 (1994) 3895. [33] T. Yoshimura, H. Shiraishi, J. Yamamoto, S. Okazaki, Jpn. J. Appl. Phys. 32 (1993) 6065. [34] Y. Ma, G. Tsvid, F. Cerrina, J. Vac. Sci. Technol. B 21 (6) (2003) 3124.