Status of multilayer coatings for EUV Lithography

Similar documents
Customized EUV optics made by optix fab

BEUV nanolithography: 6.7 or 11 nm?

EUV-collector mirrors for high-power LPP sources

Enhanced Performance of Multilayer Optics for Water Window Microscopy

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Actinic review of EUV masks: First results from the AIMS EUV system integration

Status of EUV Sources for Mask Metrology

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Resist-outgas testing and EUV optics contamination at NIST

Measurement of EUV scattering from Mo/Si multilayer mirrors

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Overview of EUV Lithography and EUV Optics Contamination

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design of multilayer X-ray mirrors and systems

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Soft X - Ray Optics: Fundamentals and Applications

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

IEUVI Mask Technical Working Group

EUREKA: A new Industry EUV Research Center at LBNL

Multilayer optics for next-generation EUVL systems

Multilayer Optics, Past and Future. Eberhard Spiller

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics

Evaluation at the intermediate focus for EUV Light Source

Multilayer coating facility for the HEFT hard X-ray telescope

Progress on ASML s EUV Alpha Demo Tool

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M.

EUV-Technology with Discharge EUV-Lamp"

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

EUV Lithography Towards Industrialization

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

EUVL Optics lifetime and contamination. European Update

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Damage to optics under irradiations with the intense EUV FEL pulses

EUVL Readiness for High Volume Manufacturing

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities

EUV lithography industrialization for HVM

Narrow-band EUV multilayer coating for the MOSES sounding rocket

We published the text from the next page.

Current development status of Shin-Etsu EUV pellicle

X-ray optics for the LCLS free-electron laser

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUV lithography and Source Technology

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

EUV Lithography Status and Key Challenges for HVM Implementation

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

A neutron polariser based on magnetically remanent Fe/Si supermirrors

Analysis of carbon contamination on EUV mask using CSM/ ICS

The gravitational waves detection: 20 years of research to deliver the LIGO/VIRGO mirrors. Christophe MICHEL on behalf of LMA Team

E152 Standard Revision: EUV-pod Reticle Carrier

Interactions of 3D mask effects and NA in EUV lithography

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Evaluation of Mo/Si multilayer for EUVL mask blank

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

High Yield Structured X-ray Photo-Cathode Development and Fabrication

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method

The International Axion Observatory (IAXO) 8 th Patras Workshop on Axions, WIMPs and WISPs 22 July 2012, Chicago, IL, USA

Critical Dimension Uniformity using Reticle Inspection Tool

Lecture 14 Advanced Photolithography

SIB 52 - THERMO Stakeholder meeting May 16

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Lobster-Eye Hard X-Ray Telescope Mirrors

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Yield Structured X-ray Photo-Cathode Development and Fabrication

Madrid, Spain. Center, Palo Alto, CA 94304, US ABSTRACT

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Low slice emittance preservation during bunch compression

Figuring sequences on a super-smooth sample using ion beam technique

High-Harmonic Generation II

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

SIMBOL-X X optics: design and implementation

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Optimization of laser-produced plasma light sources for EUV lithography

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Federico Landini. INAF Osservatorio Astrofisico di Arcetri

Optical characterization of highly inhomogeneous thin films

Development of multilayer X-Ray optics and it s application in physical experiments and scientific instruments in IPM RAS

Lecture 8. Photoresists and Non-optical Lithography

A short pulsed laser cleaning system for EUVL tool

Chromeless Phase Lithography (CPL)

WM2013 Conference, February 24 28, 2013, Phoenix, Arizona, USA

High Brightness EUV Light Source for Metrology

Transcription:

Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn Hills, MI 48326, USA, www.rigaku.com 2 FOM Rijnhuizen, PO Box 1207, 3430 BE Nieuwegein, The Netherlands 3 Fraunhofer IOF, Albert Einstein Strasse 7, D-07745 Jena, Germany FOM Institute for Plasma Physics Rijnhuizen, The Netherlands Osmic X-ray Products

Outline Introduction Performance versus Specifications Best ML performance ML stability ML coatings infrastructure ML for Next Generation EUVL Multilayer technology readiness for HVM Conclusion

+ ML on mask blanks

Is today s ML deposition technology ready for HVM? ML performance versus specification ML parameters to improve Feasibility for improvement Infrastructure and capacity Deposition facilities Metrology Substrates suppliers

Defects in mask blanks Asahi Glass: 2010 Maui Workshop Asahi Glass: 2010 Maui Workshop Sungmin, et al, (Samsung Electronics) in Proc. SPIE Vol. 7969 (2011) Pit defects are the most dominant, accounting for on average 75% of defects observed. The remaining 25% of the defects are due to particles deposited during the deposition process. presented by O. Wood: 2010 Maui Workshop

Collector for NXE3100

Reflectivity radial uniformity

Collector optics deposition at RIT Preliminary results: 3 weeks after install NIST measurements median λc ranged 13.77 @ 50mm to 13.33nm @ 200mm total height range (sag) is 97mm angle of surface is 13º - 49º Maximum size: Diameter 550mm Thickness 220mm

Illuminator: Reflectivity 1 FOM Institute for Plasma Physics Rijnhuizen, The Netherlands (2005)

Illuminator: Reflectivity 2 Carl Zeiss SMT GmbH Oberkochen Germany (2005)

Projection optics: towards to HVM Spec is 100 pm

Reticle Imaging Microscope (RIM, 2005) 4 condensor (1 Ru, 3MoSi) 2 imaging (MoSi) Added Figure Error in imaging optics: M1: 0.015nm M2: <0.010nm Relative Variation of CWHM or thickness 1.01 1 C1 C2 C3 M1 M2 0.99-1 -0.5 0 0.5 1 Relative distance along diameter of clear-aperture H.Glatzel et al. Characterization of prototype optical surfaces and coatings for the EUV Reticle Imaging Microscope, Proc. of SPIE, Vol. 5751 (2005), 1162 1169.

Spec and achieved performance Application Parameter λ c, nm Δλ c, nm Rp, % ΔRp, % Stress, MPa Mask blanks ±0.025 Spec ±0.030 (i) (i) Achieved ±0.006 (b) ±0.010 (b) 67 (a) ±0.025 (a) 67.1 (c) ±0.025 (b) 200 (a) 200-400 (b) Defects, cm -2 < 0.003 (a) 0.05 at 56nm ( c) Figure Error, nm rms Collector Spec Achieved ±0.020 (j) ±0.05 (j) ±0.015 (j) 65 (j) ±5 (j) Illuminator Projection optics Spec Achieved ±0.010 (d) ±0.014 (e) ±0.014 67 (f) ±1 (g) 100 (a) 69.1(f) ±0.2 (g) 35 (f) Spec 67 (f) ±1.0 (g) 0.0014 (f) Achieved ±0.010 (d) ±0.008 (d) 69.1(f) ±0.02 (g) 35 (f) 0.0002 (f)

Best ML performance

Maximum EUVL reflectivity -I 0.8 0.7 0.6 70.5% @ 13.3 nm70.15% @ 13.5 nm data PTB, Berlin 1.5 degrees offnormal Reflectance 0.5 0.4 0.3 0.2 0.1 0 12.7 12.9 13.1 13.3 13.5 13.7 13.9 Wavelength, nm Diffusion barrier X at both interfaces

Maximum EUVL reflectivity -II

Stress in ML coatings -200 MPa reduced to -30 MPa 70.0 HR High reflectance multilayer Stress compensation multilayer substrate P.B. Mirkarimi et al, Opt. Eng. 38, 1999 Reflectance [%] 60.0 Low stress HR 50.0 40.0 30.0 20.0 10.0 0.0 12.75 13.00 13.25 13.50 13.75 14.00 14.25 wavelength [nm] Stress compensation No effect on reflectance Erwin Zoethout et al, SPIE 5037, 2003

ML stability Temperature stability Barrier layers Radiation stability Capping layer

Temperature stability Reflectivity Period Mo/Si taken from: C. Montcalm, Eng. Opt. 40, 469 (2001) others from: S. Yulin, SPIE 5751, 1155 (2005) San Jose, 2010

Radiation stability

Radiation stability

Infrastructure Deposition facilities ML Performance Metrology Substrates

ML deposition facilities

Carl Zeiss SMT GmbH Ostalb, Region Ost-Württemberg, Baden-Württemberg Rudolf-Eber-Str. 2 73447 Oberkochen Germany

(San Jose, 2005)

FOM Institute for Plasma Physics Rijnhuizen, The Netherlands

FOM Institute Rijnhuizen

IPM Nizhniy Novgorod Russia

Institute for Physics of Microstructures Multilayer structures (technology; characterization) Facility providing deposition of 6 different materials in one multilayer structure Technological stand for deposition of MLSs by means of magnetronand ion-beam sputtering. It allows low energy ion polishing of each layer border and ion-beam assistant deposition Reflectometerfor reflectivity and transparency characterization of XEUV optics in a spectral range of 0.6 20 nm

RIT in Auburn Hills, Michigan RIT Facility 18 hole golf course Auburn Hills Detroit

RIT, Auburn Hills, USA Inline Magnetron 7 Carousel Magnetrons Ion Beam Class100 cleanroomwith class 10 miniroom Load-locked 5 planar magnetrons 4 process gases 500 x 1500mm carrier 0.2mm accuracy Wavelength Range λ = 0.2Å 300Å E = 40eV 60keV Multilayer Period d min = 10Å Number of Period N max = 1000 Spectral Resolution λ/λ = Size: ~3mm to 1.5 meter 0.2% (high-selective) 20% (depth-graded) Materials W/Si, W/C, Ni/Ti, Ni/B 4 C, Ni/C, Cr/C, Cr/Sc, Mo/Si, Mo/B 4 C, La/B, V/C, Ru/B 4 C, Al 2 O 3 /B 4 C, SiC/Si, Si/C, SiC/C, Fe/Si, Cr/B 4 C, Si/B 4 C, W/Mg 2 Si, V/B 4 C, Ti/B 4 C, etc. Design Uniform or Graded: lateral, radial, bilateral (2D) Depth Graded: supermirror & highselective Flat or Curved Glancing (<1º) to Normal

ML reflectivity metrology

Optics Reflectometry@ 13.5nm Gullikson (CXRO) paper in SPIE 4343 (2001). (Dmax~200mm, L~400mm) λ C precision: 0.01% λ C accuracy: 0.03% Rp precision: 0.12% Rp accuracy: 0.50% S.Grantham(NIST)(2011). (Dmax~450mm) median lambda uncertainty: ±0.10% (2σ) of the median peak reflectivity uncertainty: ±0.25% (2σ) absolute F. Scholze(PTB) paper in SPIE 5751, 749 (2005). (Dmax >660mm) λ C : 0.0075% week-to-week accuracy; Rp: ±0.1% rms reproducibility: λc = ±0.0008nm 1σ or ±0.006% 1σ, Rp = ±0.11% 1σ New Subaru (2010). (Dmax~200mm) λc: 0.004nm, R: 0.05%, fwhm: 0.001nm Zeiss(2005). (Dmax~500mm) International Intercomparison(2003?) λ C : 0.03% agreement b/w CXRO/PTB; 0.029% b/w CXRO/New Subaru Rp: 0.13% agreement b/w CXRO/PTB; 0.05% b/w CXRO/New Subaru

Multilayersfor next generation EUVL at 6.7nm

Next generation EUVL Next Generation EUVL EUV Source Workshop, Dublin, Nov 2010

Why 6.X nm: ML reflectivity Maximum peak reflectivity of multilayers in the wavelength range from 4nm to 16nm is expected to be at ~13.5nm and ~6.6nm 1.4 1.2 1 0.8 fwhm(co/c) fwhm(la/b4c) fwhm(ru/y) fwhm(mo/si) fwhm (fit), nm Resolution 0.07 0.06 0.05 0.04 80 70 60 50 40 30 20 ~53% ~74% Reflectivity ~63% ~74% R(Co/C) R(La/B4C) R(Ru/Y) R(Mo/Si) 4 6 8 10 12 14 16 Wavelength, nm λ = 2 0.20523 0.075113 λ + 0.0078905 λ 0.6 0.4 0.03 0.02 Shorter wavelength Narrower reflectivity curve 0.2 0.01 0 0 4 6 8 10 12 14 16 Wavelength, nm

Wavelength of maximum reflection La 2 O 3 /B 4 C structure La/B 4 C structure 0.6 0.5 74deg. 75deg. 76deg. 77deg. 78deg. 79deg. 80deg. 81deg. 82deg. 83deg. 84deg. 85deg. 0.4 0.3 0.2 0.1 0 6.6 6.65 6.7 6.75 6.8 6.85 6.9 Wavelength, nm Measurements at CXRO, March 2011 R(max)=42.8% at ~6.63nm Measurements at New Subaru, May, 2011 R(max)=49.83% at ~6.656nm

Optical constants and maximum reflectivity 0.8 0.7 Performance of La/B4C structures. Calculations on CXRO website. R(d_3.3nm) R(d_3.31nm) R(d_3.32nm) R(d_3.33nm) R(d_3.34nm) R(d_3.35nm) 90 80 70 Reflectivity of La/B4C structures versus wavelength calculated with two different sets of B4C constants. λ(max)=6.602nm R(max)=80% R(cxro), % R(souf li), % 0.6 0.5 0.4 0.3 6.55 6.6 6.65 6.7 Wavelength, nm 60 50 40 30 R(max) for La 2 O 3 /B 4 C λ(max)=6.624nm R(max)-67.2% R(max) for La/B 4 C CXRO - B4C constants are from CXRO website Soufli - B4 C constants are from R. Soufli et al, Ap plied Optics, 47, 25 (2008) 20 65.5 66 66.5 67 Wavelength, Å Maui EUVL Workshop, 14-17 June 2011

Is today s ML deposition technology ready for HVM?

MLO Supply Readiness Pre-HVM (NXE3100-3300) Application Coatings Substrates Collector Optics Illumination Optics Projection Optics Mask Blank Metrology Optics Largely internalized supply (with Institutional support & supply) covers small number of tool shipments; scanners, sources, limited scope for metrology tool development

Designs for current and future tools

MLO Supply Readiness HVM (NXE3500 and beyond, + New Entrants) Application Coatings Substrates Collector Optics a) a) Illumination Optics b) b) ProjectionOptics b) b) Mask Blank c) c) Metrology Optics d) d) a) What is the required volume in HVM? When needed? b) There is no published spec for higher NA optics c) There are still added coating defects d) There is currently no supplier of metrology tools

Conclusion MLO technology for 13.5 nm is sufficiently developed to support pre-hvm deployment(s). Deposition of higher NA optics for HVM will require further development. For the Next Generation EUVL, the choice of light source fuel and multilayer materials is still in R&D feasibility phase. The establishment of multilayer optics infrastructure based on proven low volume manufacturing is, in principal extendable and scalable to HVM.

Acknowledgement RIT G. Fournier, J. Hummel FOM A. Yakshin, I. Makhotkin CXRO - E. Gullikson LLNL R. Soufli NIST -C. Tarrio, S. Grantham, T.B. Lucatorto New Subaru - T. Harada, T. Watanabe, H. Kinoshita IPM N. Salashchenko, N. Chkhalo

Thank you Osmic Products