x 1 x 2 x 7 y 2 y 1 = = = WGT7 SB(7,4) SB(7,2) SB(7,1)

Size: px
Start display at page:

Download "x 1 x 2 x 7 y 2 y 1 = = = WGT7 SB(7,4) SB(7,2) SB(7,1)"

Transcription

1 A New Expansion of Symmetric Functions and Their Application to Non-Disjoint Functional Decompositions for LUT Type FPAs Tsutomu Sasao Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka , Japan Abstract This paper presents a new expansion method for symmetric functions, and shows an application to nondisjoint decompositions. It is useful when the column multiplicity satises the condition 6 2 r. This paper also shows the realizations of rd73, rd84, and 9sym that require only 4, 6, and 5 Xilin CLBs, respectively. I Introduction Functions that appears in arithmetic circuits often have symmetries. When logic functions have some symmetries, they have more ecient realizations than the functions without symmetry [4]. This paper presents a new expansion method for symmetric functions. It also shows the application of this expansion to the design of Look up table (LUT) type Field Programmable ate Array (FPA) by using non-disjoint decompositions. An LUT type FPA consists of many Congurable Logic Blocks (CLBs). We assume that each CLB realizes ) arbitrary 5 input output variable function, or 2) a pair of arbitrary 4 input functions. To design LUT type FPAs, functional decomposition is useful [, 6, 8, 9,, 3, 6]. iven a function f, a disjoint decomposition is to represent f in the form f(x;x2) g(h(x);h2(x);:::;h r (X);X2), where two variable sets X and X2 have no common element (Fig..). We consider the encoding that simplies function h r. Specically, weconsider an encoding such that h r (X) x i ; that is, f(x;x2) g(h(x);h2(x);:::;h r(x);x i ;X2). This can be also considered as a non-disjoint decomposition (Fig..2). This type of decomposition is useful for designing LUT-type FPAs. As examples, we show realizations of rd73, rd84, and 9sym that require only 4, 6, and 5 CLBs, respectively. As far as we know, these are the smallest CLB realizations ever found. X H r log µ 2 Figure.: Disjoint decomposition. II X x i H r- Figure.2: Non-disjoint decomposition. Symmetric Functions Denition 2. A function f is totally symmetric if any permutation of the variables in f does not change the function. A totally symmetric function is also called asymmetric function. Denition 2.2 In a function f(x;:::;x i ;:::;x j ;:::; x n ), if the function f(x;:::;x j ;:::;x i ;:::;x n ) that is obtained by interchanging variables x i with x j,isequal to the original function, then f is symmetric with respect to x i and x j.ifanypermutation of subset S of the variables does not change the function f, thenf is partially symmetric. Denition 2.3 The elementary symmetric functions of n variables are S n xx2 x n S n xx2 x n _ xx2x3 x n xx2 x nx n S n nxx2 x n Si n i exactly i out of n inputs are equal to one. Let A f; ;:::;ng. A symmetric function SA n is dened as follows: _ SA n Si n : i2a Example 2. f(x;x2;x3) xx2x3 _ x x2 x3 _ xx2x3 _ xx2x3 is a totally symmetric function. f when all the variables are one, or when only one variable is one. Thus, f can be written as S 3 _S3 3 S 3 f;3g.

2 The following Lemma is well known [4]. Lemma 2. An arbitrary n-variable symmetric function f is uniquely represented by elementary symmetric functions S n _ ;Sn ;:::;Sn n as follows: f Si n SA n ; where A f; ;:::;ng: i2a Denition 2.4 Let SB(n; k) be the n-variable symmetric function represented by the EXOR sum of all the products consisting of k positive literals: SB(n; ) SB(n; ) X 8 x i X SB(n; 2) 8 x i x j (i<j) SB(n; 3) X 8 x i x j x k (i<j<k) SB(n; n)xx2 x n SB(n; k) has been used as a benchmark function for AND-EXOR logic minimizer [2]. The following two lemmas were been proved by Komamiya [5] and reformulated by the author [4]. Lemma 2.2 Let x, x2,:::, x n be binary variables and r be an integer dened by r x + x2 + + x n, where + is an ordinary integer addition. Let the binary representation of r be (y k ;y k;:::;y;y)2; y j 2f; g (j ; ;:::;k): In other words, x + x2 + + x n 2 k y k +2 k y k + +2y + y: Then, y i SB(n; 2 i ): Lemma 2.3 Let k <k2 < <k s,and2 k + 2 k k s n. Then, s^ sx SB(n; 2 k i )SB(n; 2 k i ): i i Example 2.2 SB(4; )SB(4; 2) SB(4; 3) SB(6; 2)SB(6; 4) SB(6; 6) SB(7; )SB(7; 2)SB(7; 4) SB(7; 7) x 7 WT7 y y Figure 2.: WT7. SB(7,4) SB(7,) Denition 2.5 WT n is an n-input dlog2(n +)eoutput function. It counts the number of 's in the inputs and represents it by a binary number. By Lemma 2.2, WTn produces SB(n; 2 i ), (i ; ;:::;dlog2(n+)e), where dae denotes the smallest integer greater than or equal to a. Example 2.3 WT7 has x;x2;:::;x7 as inputs and y2;y;y as outputs (Fig. 2.). By Lemma 2.2, we have y2sb(7; 4) X 8 i<j<k<l X ysb(7; 2) 8 x i x j i<j x i x j x k x l ysb(7; ) x 8 x2 88x7 WT7 is also called asrd73. The following is a new expansion method for symmetric functions using SB(n; k) functions: Theorem 2. An arbitrary n-variable symmetric function f can be represented byy i SB(n; 2 i ), (i ; ; 2;:::;t) as follows: f _ (a ;a ;:::;a t ) g(a;a;:::;a t )y a ya ya t t ; where g(a;a;:::;a t ) is or, andt dlog2(n+)e. (Proof) A symmetric function f only depends on the number of 's in the inputs. Since WTn counts the number of 's in the input, we can represent f as a function of y, y,:::, y t. 2 III Functional Decomposition and Standard Encoding 3. Functional Decomposition 2

3 Denition 3. Let f(x;x2) be alogic function, and (X;X2) be apartition of X. jxj denotes the number of variable in X. When n jxj and n2 jx2j, an equivalence relation on B n is dened as follows: a b () f(a;x2) f(b;x2). Let the equivalence classes of B n be 9, 9;:::;9. In this case, is equal to the column multiplicity for the decomposition table f with the partition (X;X2). 9 i is also used to represent the corresponding switching function. X h 3 A h Figure 4.: Standard encoding. 3.2 Standard Encoding The minimum-length encoding uses dlog 2 e bits to encode 9; 9;:::;9 where dae denotes the minimum integer not smaller than a. For example, in the case of 5, 9 is coded by, 9 is coded by, 92 is coded by, 93 is coded by, and 94 is coded by. Let the encoding functions be h;h2;:::;h r,where r dlog2 e. In this encoding, \All the vectors in an equivalence class have the same codes," and is strict. Minimizing the number of the intermediate variables is preferable, and unused codes can be used as don't cares to simplify []. IV Encoding that Simplies the Intermediate Variables In the strict encoding, \all the vectors in an equivalence class have the same codes." However, in general, the coding where, \two vectors in the dierent classes have dierent codes" is sucient. Thus, two vectors in the same equivalence class may have dierent codes. Such an encoding is non-strict, and can simplies the network H []. Many methods exist to encode the equivalence classes; 9; 9;:::;9. In this paper, we willuse an encoding that simplies h r. Assume that 6 2 k. If we can choose an encoding such that h r (X) x i, then the network for h r can be deleted. Example 4. Consider a 7-variable function f(x; X2), where X fx;x2;x3;x4g and X2 fx5;x6; x7g, and assume that f is partially symmetric with respect to X. In this case, the column multiplicity of the decomposition chart for f(x;x2) is at most X A h Figure 4.2: Encoding that simplies h. 5, since it is sucient to identify if ; ; 2; 3, or4 of x;x2;x3, and x4 are. Thus, f can be realized as Fig. 4.. In the case of 5,weneed three intermediate variables: h, h2, andh3. a) Standard Encoding Table 4. shows the encoding for h, h2, andh3. In this case, we have h3 SB(4; 4)xx2x3x4 S 4 4 h2 SB(4; 2)xx2 8 xx3 8 xx4 8 x2x3 8x2x4 8 x3x4 S 4 f2;3g h SB(4; )x 8 x2 8 x3 8 x4 S 4 f;3g : Note that Table 4. represents WT4, witch is realized by block A. In Fig. 4., the network for A requires two CLBs since it produces three non-trivial functions. This encoding uses ve code words. b) An encoding that Simplies h For h3, weusex4 instead of SB(4; 4): h3x4 h2sb(4; 2) hsb(4; ) Table 4.: Standard Encoding. h3h2hthe number of 's in X

4 In this case, (h3;h2;h) shows the number of 's in fxg. In other words, (h3;h2;h)(; ; ) denotes that X hasno (h3;h2;h)({; ; ) denotes that X has one (h3;h2;h)({; ; ) denotes that X has two 's (h3;h2;h)({; ; ) denotes that X has three 's (h3;h2;h)(; ; ) denotes that X hasfour's Since the function h3 x4 is available as an input, we need not realize this function. As shown in Fig. 4.2, the network for A represents a 4- input 3-output function, and can be realized by using only one CLB. This encoding uses 8 dierent code words. Theorem 4. Consider the decomposition: f(x;x2) g(h(x);h2(x);:::;h r (X);X2): The following are sucient conditions for h r (X) to be represented ash r (X) x i, where x i 2 X are ) 2 r +and, 2) There exist two equivalence classes 9 A and 9 B such that 9 A x i A ; and 9 B x i B : (Proof) Assume ) and 2). Then, merge the two equivalence classes as 9 M 9 A [ 9 B. This will reduce the number of equivalence classes by one. Since 2 r +, we can reduce the outputs for H by one. 2 Partially symmetric functions above conditions. often satisfy the Example 4.2 Let X (x;x2;x3), and the equivalence classes for the decomposition f with the partition (X;X2) be 9xx2x3 9xx2 _ x2x3 _ x3x 92xx2x3 In this case 3, but we have only to realize one intermediate variable. Let 9 M 9 _ 92, and we have 9x9 M x h 9h 92x9 M x h We have only to realize the function h 9. Example 4.3 Let X (x;x2;x3;x4), and the equivalence classes for the decomposition for f with the partition (X;X2) be 9S 4 (x;x2;x3;x4) xx2x3x4 9S 4 (x;x2;x3;x4) 92S 4 2(x;x2;x3;x4) 93S 4 3(x;x2;x3;x4) 94S 4 4(x;x2;x3;x4) xx2x3x4 In this case, 5, but we have only to realize two intermediate variables y SB(4; ) and y SB(4; 2). Note that 9xyy 9yy 92y y 93yy 94x y y Corollary 4. Let f(x;x2) be symmetric with respect to X fx;x2;x3;x4g. Then, f can be represented asf(x;x2) g(y;y;x;x2), where ysb(4; ) x 8 x2 8 x3 8 x4; and ysb(4; 2) xx2 8xx3 8xx48x2x3 8x2x4 8x3x4 This Corollary is useful to design symmetric functions. Example 4.4 Realize WT7 (rd73) by using LUTs. (Solution) WT7 counts the number of 's in the input, and represent it by a binary number (Fig. 2.). Let X be partitioned as (X;X2), where X (x;x2;x3;x4) and X2 (x5;x6;x7). The column multiplicity of the decomposition chart (X;X2) is ve. So, the straightforward realization produces the network shown in Fig. 4.3, where WT4 is a 4-input bit counting circuit and produces three functions: h3sb(4; 4) xx2x3x4 h2sb(4; 2) x(x2 8 x3 8 x4) 8 x2(x3 8 x4) 8 x3x4 hsb(4; ) x 8 x2 8 x3 8 x4 Also, WT3 is a 3-input bit-counting circuit (i.e., a full adder), and produces two functions: h5sb(3; 2) x5x6 8 x6x7 8 x7x5 h4sb(3; ) x5 8 x6 8 x7 adds two 2-bit numbers: (h2;h) and (h5;h4) producing the two least signicant bits of the sum, and 2 adds a 2-bit number with a 3-bit number: (h3;h2;h) and (h5;h4) producing the most signicant bit of the sum. In Fig. 4.3, WT4 has three outputs and require two CLBs. Note that y2h3 8 h2h5 8 hh4(h2 8 h5) yh2 8 h5 8 hh4 yh 8 h4 4

5 h 3 WT4 h x 5 x 6 SB(4,4) SB(4,2) SB(4,) SB(3,2) WT3 x SB(3,) 7 h 3 h h 2 SB(7,4) y y SB(7,) x 5 x 6 SB(4,4) SB(6,4) SB(4,2) SB(6,2) x 7 x 8 SB(8,8) SB(8,4) SB(8,2) y 3 SB(8,8) SB(8,4) y SB(8,2) Figure 4.3: Realization of WT7 wit LUTs. SB(4,) SB(6,) SB(8,) y SB(8,) WT4 h x 5 x 6 SB(4,2) SB(4,) SB(3,2) WT3 x SB(3,) 7 h h 2 SB(7,4) y y SB(7,) Figure 4.4: Realization of WT7 with four LUTs. Since each of and 2 in Fig. 4.3 requires one LUT, we need ve LUTs in total. However, if h3 SB(4; 4) is replaced byh3 x as shown in Fig. 4.4, we need only four LUTs. In this case,weusetherelation h3 x hh2 and y2 x h h2 8 h2h5 8 hh4(h2 8 h5). Example 4.5 Realize WT8 (rd84) by LUTs. (Solution) The WT8 realizes the four functions SB(8; 8), SB(8; 4), SB(8; 2), and SB(8; ). Let X be partitioned as X (X;X2;X3), where X (x;x2;x3;x4), X2 (x5;x6), andx3 (x7;x8). First, realize WT4 as: SB(4; 4)xx2x3x4 SB(4; 2)x(x2 8 x3 8 x4) 8 x2(x3 8 x4) 8 x3x4 SB(4; )x 8 x2 8 x3 8 x4 Second, realize WT6 as: SB(6; 4)SB(4; 4) 8SB(4; 3)(x5 8 x6) 8SB(4; 2)x5x6 SB(6; 2)SB(4; 2) 8SB(4; )(x5 8 x6) 8 x5x6 SB(6; )SB(4; ) 8 x5 8 x6 Here, we use the relation: SB(4; 3) SB(4; 2)SB(4; ) And, nally realize WT8 as: y3b(8; 8) SB(6; 6)x7x8 y2sb(8; 4)SB(6; 4)8SB(6; 3)(x7 8x8)8SB(6; 2)x7x8 ysb(8; 2)SB(6; 2)8SB(6; )(x7 8x8)8x7x8 ysb(8; )SB(6; )8 x7 8 x8 Figure 4.5: Realization of WT8. Table 4.2: Truth Table for 9sym. y2 y y x8 x9 f Here, we use the relations: SB(6; 6)SB(6; 4)SB(6; 2) SB(6; 3)SB(6; 2)SB(6; ) Thus, WT8 is realized as Fig However, if we use the relation, SB(4; 4) xx2x3x4 xsb(4; 2) SB(4; ); the LUT for SB(4; 4) is absorbed into the CLB for SB(6; 4). Also, note that each of the pair of LUTs for fsb(4; 2); SB(4; )g, fsb(6; 2); SB(6; )g, and fsb(8; 2); SB(8; )g is merged into one CLB. Thus, WT8 requires only 6 CLBs. Example 4.6 Realize the 9-input symmetric function 9sym by LUTs. (Solution) 9sym is represented as f S 9 f3;4;5;6g (x;x2;:::;x9). f if and only if the number of 's in the input is 3, 4, 5, or 6. To realize 9sym, we use WT7. From the denition of 9sym, we have Table 4.2. In Fig. 4.6, the network for Table 4.2 requires only one CLB. Since WT7 requires only four CLBs (Example 4.4), 9sym requires only ve CLBs. 5

6 x 7 WT7 SB(7,4) SB(7,) y y y y x8 x9 f Science, Culture and Sports of Japan. J. T. Butler's comments improved the presentation. Mr. M. Matsuura edited the LaT E X le. References Figure 4.6: Realization for 9sym. Table 4.3: Number of XC3 CLBs. IMO PDD HYDE This DEC MAP paper 9sym SYM rd73 WT7 5 { 5 4 rd84 WT IMODEC: Wurth-Eckl-Antreich: DAC-95 [7]. PDDMAP: Cong-Hwang: FPA-97 (XC4) [2]. HYDE: Jiang-Jou-Huang: DAC-98 [4]. V Conclusion and Comments In this paper, we have presented a new expansion theorem for an n-variable symmetric function f by using SB(n; 2 i ) functions (i ; ;:::;dlog 2 (n +)e). Representation of f by using Si n (i ; ; 2;:::;n) corresponds to one-hot encoding, while representation of f by using SB(n; 2 i ) corresponds to minimum-length strict encoding. When the number of equivalence class satises the relation 2 r <<2 r, a strict encoding requires r intermediate variables. However, non-strict encoding often requires a smaller number of intermediate variables. This produces non-disjoint decompositions. By using a new expansion method and nondisjoint decompositions, we obtained the best realizations for well known benchmark functions (rd73, rd84, and 9sym). These realizations were found by inspection rather than by a computer program. The FPA design systems such as [2, 4, 7,, 5] consider non-disjoint decompositions or encodings that simplify the intermediate variables. Unfortunately, they failed to nd the optimum solutions for rd73, rd84, and 9sym (see Table 4.3). To develop the design system that obtains optimum solutions for these functions is challenging. Acknowledgments This work was supported in part by a rant inaid for Scientic Research of the Ministry of Education, [] S-C. Chang, M. Marek-Sadowska, and T. Hwang, \Technology mapping for LUT FPA's based on decomposition of binary decision diagrams," IEEE Trans. on CAD, Vol. CAD-5, No., pp , Oct [2] J. Cong and Y.-Y Hwang, \Partially-dependent functional decomposition with applications in FPA synthesis and mapping," Fifth Int. Symp. on Field-Programmable ate Arrays, pp , Feb [3] Feng Wang and D. L. Dietmeyer, \Exploiting near symmetry in multilevel logic synthesis," IEEE Trans. Comput.- Aided Des. Integr. Circuits Syst., Vol. 7, No. 9, pp , Sept [4] J.-H. R. Jian, J.-Y. Jou, and J.-D. Huang, \Compatible class encoding in hyper-function decomposition for FPA synthesis," Design Automation Conference, pp , June 998. [5] Y. Komamiya, \Theory of computing networks," Electrotechnical Laboratory in Japanese overnment, July, 959. [6] Y-T. Lai, M. Pedram, and S. B. K. Vrudhula, \EVBDDbased algorithm for integer linear programming, spectral transformation, and functional decomposition," IEEE Trans. CAD, Vol. 3, No. 8, pp , Aug [7] C. Legl, B. Wurth, and K. Eckl, \Computing supportminimal subfunctions during functional decomposition," IEEE Trans. VLSI, Vol. 6, No. 3, pp , Sept [8] Y. Matsunaga, \An exact and ecient algorithm for disjunctive decomposition," SASIMI'98, pp. 44-5, Oct [9] S. Minato and. De Micheli, \Finding all simple disjunctive decompositions using irredundant sum-of-products forms," ICCAD-99, pp. -7, Nov [] R. Murgai, R. K. Brayton, and A. Sangiovanni-Vincentelli, \Optimum functional decomposition using encoding," 3st Design Automation Conference, pp , June 994. [] M. Raski, L. Jozwiak, M. Noricka, and T. Luba, \Nondisjoint decomposition of Boolean functions and its application in FPA-oriented technology mapping," Euromicro- 97, pp [2] T. Sasao and Ph. Besslich, \On the complexity of MOD-2 Sum PLA's," IEEE TC, Vol. 39, No. 2, pp , Feb. 99. [3] T. Sasao, \FPA design by generalized functional decomposition," (Sasao ed.) Logic Synthesis and Optimization, Kluwer Academic Publishers, 993. [4] T. Sasao, Switching Theory for Logic Synthesis, Kluwer Academic Publishers, 999. [5] H. Sawada, T. Suyama, and A. Nagoya, \Logic synthesis for look-up table based FPAs using functional decomposition and support minimization," ICCAD, pp , Nov [6] C. Scholl and P. Molitor, \Communication based FPA synthesis for multi-output Boolean functions," Asia and South Pacic Design Automation Conference, pp , Aug

x 1 x 2 x 3 x 4 x 5 x 6 x 7 x 8 x 9 x 10 x 11 x 12 x 13 x 14 x 15 x 16

x 1 x 2 x 3 x 4 x 5 x 6 x 7 x 8 x 9 x 10 x 11 x 12 x 13 x 14 x 15 x 16 DECOMPOS: An Integrated System for Functional Decomposition Tsutomu Sasao and Munehiro Matsuura Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka 820-8502, Japan Abstract

More information

Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997

Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997 Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997 Multi-output Functional Decomposition with Exploitation of Don't Cares Christoph

More information

An Application of Autocorrelation Functions to Find Linear Decompositions for Incompletely Specified Index Generation Functions

An Application of Autocorrelation Functions to Find Linear Decompositions for Incompletely Specified Index Generation Functions 03 IEEE 43rd International Symposium on Multiple-Valued Logic An Application of Autocorrelation Functions to Find Linear Decompositions for Incompletely Specified Index Generation Functions Tsutomu Sasao

More information

LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations

LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations Tsutomu Sasao and Alan Mishchenko Dept. of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 80-80, Japan Dept.

More information

Analysis and Synthesis of Weighted-Sum Functions

Analysis and Synthesis of Weighted-Sum Functions Analysis and Synthesis of Weighted-Sum Functions Tsutomu Sasao Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan April 28, 2005 Abstract A weighted-sum

More information

Sum-of-Generalized Products Expressions Applications and Minimization

Sum-of-Generalized Products Expressions Applications and Minimization Sum-of-Generalized Products Epressions Applications and Minimization Tsutomu Sasao Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka 80-850 Japan Abstract This paper

More information

On the Minimization of SOPs for Bi-Decomposable Functions

On the Minimization of SOPs for Bi-Decomposable Functions On the Minimization of SOPs for Bi-Decomposable Functions Tsutomu Sasao Jon T. Butler Center for Microelectronic Systems Department of Electrical and Department of Computer Science and Electronics and

More information

Irredundant Sum-of-Products Expressions - J. T. Butler

Irredundant Sum-of-Products Expressions - J. T. Butler On the minimization of SOP s for Bi-Decomposable Functions T. Sasao* & J. T. Butler *Kyushu Institute of Technology, Iizuka, JAPAN Naval Postgraduate School Monterey, CA -5 U.S.A. Outline Introduction

More information

LP Characteristic Vector of Logic Functions Norio Koda Department of Computer Science and Electronic Engineering Tokuyama College of Technology Tokuya

LP Characteristic Vector of Logic Functions Norio Koda Department of Computer Science and Electronic Engineering Tokuyama College of Technology Tokuya LP Characteristic Vector of Logic Functions Norio Koda Department of Computer Science and Electronic Engineering Tokuyama College of Technology Tokuyama, 745 Japan Tsutomu Sasao Department of Computer

More information

On the Complexity of Error Detection Functions for Redundant Residue Number Systems

On the Complexity of Error Detection Functions for Redundant Residue Number Systems On the Complexity of Error Detection Functions for Redundant Residue Number Systems Tsutomu Sasao 1 and Yukihiro Iguchi 2 1 Dept. of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka

More information

Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition

Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition 22 IEEE 42nd International Symposium on Multiple-Valued Logic Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition Hiroki Nakahara, Tsutomu Sasao,

More information

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications Shigeru Yamashita, Hiroshi Sawada and Akira Nagoya NTT Communication Science Laboratories 2-2 Hikaridai, Seika-cho,

More information

doi: /TCAD

doi: /TCAD doi: 10.1109/TCAD.2006.870407 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 5, MAY 2006 789 Short Papers Analysis and Synthesis of Weighted-Sum Functions Tsutomu

More information

WITH rapid growth of traditional FPGA industry, heterogeneous

WITH rapid growth of traditional FPGA industry, heterogeneous INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2012, VOL. 58, NO. 1, PP. 15 20 Manuscript received December 31, 2011; revised March 2012. DOI: 10.2478/v10177-012-0002-x Input Variable Partitioning

More information

X1=0 X1=1 X1=p-1. f 0 f 1 f p-1

X1=0 X1=1 X1=p-1. f 0 f 1 f p-1 On the Number of Deendent Variables for Incomletely Secied Multile-Valued Functions Tsutomu Sasao Deartment of Comuter Science and Electronics Kyushu Institute of Technology Iizua 8-85, Jaan Abstract This

More information

Large-Scale SOP Minimization Using Decomposition and Functional Properties

Large-Scale SOP Minimization Using Decomposition and Functional Properties 10.2 Large-Scale SOP Minimization Using Decomposition and Functional Properties Alan Mishchenko Department of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA

More information

An Efficient Heuristic Algorithm for Linear Decomposition of Index Generation Functions

An Efficient Heuristic Algorithm for Linear Decomposition of Index Generation Functions An Efficient Heuristic Algorithm for Linear Decomposition of Index Generation Functions Shinobu Nagayama Tsutomu Sasao Jon T. Butler Dept. of Computer and Network Eng., Hiroshima City University, Hiroshima,

More information

Logic Synthesis of EXOR Projected Sum of Products

Logic Synthesis of EXOR Projected Sum of Products Logic Synthesis of EXOR Projected Sum of Products Anna Bernasconi, Valentina Ciriani 2, and Roberto Cordone 2 Department of Computer Science, University of Pisa Italy, annab@di.unipi.it 2 DTI, University

More information

An Implementation of an Address Generator Using Hash Memories

An Implementation of an Address Generator Using Hash Memories An Implementation of an Address Generator Using Memories Tsutomu Sasao and Munehiro Matsuura Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan Abstract

More information

A Fast Method to Derive Minimum SOPs for Decomposable Functions

A Fast Method to Derive Minimum SOPs for Decomposable Functions A Fast Method to Derive Minimum SOPs for Decomposable Functions Tsutomu Sasao Center for Microelectronic Systems and Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka,

More information

Symmetrical, Dual and Linear Functions and Their Autocorrelation Coefficients

Symmetrical, Dual and Linear Functions and Their Autocorrelation Coefficients Symmetrical, Dual and Linear Functions and Their Autocorrelation Coefficients in the Proceedings of IWLS005 J. E. Rice Department of Math & Computer Science University of Lethbridge Lethbridge, Alberta,

More information

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 3A-3 Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 Jin S. Zhang Department of ECE Portland State University Portland, OR 97201 jinsong@ece.pdx.edu Malgorzata Chrzanowska-Jeske Department

More information

On the Minimization of SOPs for Bi-Decomposable Functions

On the Minimization of SOPs for Bi-Decomposable Functions On the Minimization of SOPs for Bi-Decomposable Functions Tsutomu Sasao Jon T. Butler Center for Microelectronic Systems Department of Electrical and Department of Computer Science and Electronics and

More information

Inadmissible Class of Boolean Functions under Stuck-at Faults

Inadmissible Class of Boolean Functions under Stuck-at Faults Inadmissible Class of Boolean Functions under Stuck-at Faults Debesh K. Das 1, Debabani Chowdhury 1, Bhargab B. Bhattacharya 2, Tsutomu Sasao 3 1 Computer Sc. & Engg. Dept., Jadavpur University, Kolkata

More information

Index Generation Functions: Minimization Methods

Index Generation Functions: Minimization Methods 7 IEEE 47th International Symposium on Multiple-Valued Logic Index Generation Functions: Minimization Methods Tsutomu Sasao Meiji University, Kawasaki 4-857, Japan Abstract Incompletely specified index

More information

PAPER Design Methods of Radix Converters Using Arithmetic Decompositions

PAPER Design Methods of Radix Converters Using Arithmetic Decompositions IEICE TRANS. INF. & SYST., VOL.E90 D, NO.6 JUNE 2007 905 PAPER Design Methods of Radix Converters Using Arithmetic Decompositions Yukihiro IGUCHI a), Tsutomu SASAO b), and Munehiro MATSUURA c), Members

More information

An Exact Optimization Algorithm for Linear Decomposition of Index Generation Functions

An Exact Optimization Algorithm for Linear Decomposition of Index Generation Functions An Exact Optimization Algorithm for Linear Decomposition of Index Generation Functions Shinobu Nagayama Tsutomu Sasao Jon T. Butler Dept. of Computer and Network Eng., Hiroshima City University, Hiroshima,

More information

Encoding Multi-Valued Functions for Symmetry

Encoding Multi-Valued Functions for Symmetry Encoding Multi-Valued Functions for Symmetry Ko-Lung Yuan 1, Chien-Yen Kuo 1, Jie-Hong R. Jiang 1,2, and Meng-Yen Li 3 1 Graduate Institute of Electronics Engineering, National Taiwan University, Taipei

More information

Olivier Coudert Jean Christophe Madre Henri Fraisse. Bull Corporate Research Center. Rue Jean Jaures Les Clayes-sous-bois, FRANCE

Olivier Coudert Jean Christophe Madre Henri Fraisse. Bull Corporate Research Center. Rue Jean Jaures Les Clayes-sous-bois, FRANCE A New Viewpoint on Two-Level Logic Minimization Olivier Coudert Jean Christophe Madre Henri Fraisse Bull Corporate Research Center Rue Jean Jaures 78340 Les Clayes-sous-bois, FRANCE Abstract This paper

More information

REMARKS ON THE NUMBER OF LOGIC NETWORKS WITH SAME COMPLEXITY DERIVED FROM SPECTRAL TRANSFORM DECISION DIAGRAMS

REMARKS ON THE NUMBER OF LOGIC NETWORKS WITH SAME COMPLEXITY DERIVED FROM SPECTRAL TRANSFORM DECISION DIAGRAMS REMARKS ON THE NUMBER OF LOGIC NETORKS ITH SAME COMPLEXITY DERIVED FROM SPECTRAL TRANSFORM DECISION DIAGRAMS Radomir S. Stanković Mark G. Karpovsky 1 Dept. of Computer Science, Faculty of Electronics,

More information

On the number of segments needed in a piecewise linear approximation

On the number of segments needed in a piecewise linear approximation On the number of segments needed in a piecewise linear approximation Christopher L. Frenzen a, Tsutomu Sasao b and Jon T. Butler c. a Department of Applied Mathematics, Naval Postgraduate School, Monterey,

More information

New Hierarchies of Representations RM97, September MAIN CONTRIBUTIONS OF THIS PAPER Generalizations of the Generalized Kronecker representation

New Hierarchies of Representations RM97, September MAIN CONTRIBUTIONS OF THIS PAPER Generalizations of the Generalized Kronecker representation HIERARCHIES OF AND/EXOR NEW DECISION DIAGRAMS, LATTICE TREES, CANONICAL FORMS, AND DIAGRAMS, LAYOUTS REGULAR Hierarchies of Representations RM97, September 1997 1 New Marek Perkowski, Lech Jozwiak y, Rolf

More information

On LUT Cascade Realizations of FIR Filters

On LUT Cascade Realizations of FIR Filters On LUT Cascade Realizations of FIR Filters Tsutomu Sasao 1 Yukihiro Iguchi 2 Takahiro Suzuki 2 1 Kyushu Institute of Technology, Dept. of Comput. Science & Electronics, Iizuka 820-8502, Japan 2 Meiji University,

More information

Variable Reordering for Reversible Wave Cascades - PRELIMINARY VERSION

Variable Reordering for Reversible Wave Cascades - PRELIMINARY VERSION Variable Reordering for Reversible Wave Cascades - PRELIMINARY VERSION Dimitrios Voudouris, Marinos Sampson and George Papakonstantinou National Technical University of Athens, Greece. Abstract In this

More information

A PACKET CLASSIFIER USING LUT CASCADES BASED ON EVMDDS (K)

A PACKET CLASSIFIER USING LUT CASCADES BASED ON EVMDDS (K) A PACKET CLASSIFIER USING CASCADES BASED ON EVMDDS (K) Hiroki Nakahara Tsutomu Sasao Munehiro Matsuura Kagoshima University, Japan Meiji University, Japan Kyushu Institute of Technology, Japan ABSTRACT

More information

A Fast Head-Tail Expression Generator for TCAM Application to Packet Classification

A Fast Head-Tail Expression Generator for TCAM Application to Packet Classification 202 IEEE Computer Society Annual Symposium on VLSI A Fast Head-Tail Expression Generator for TCAM Application to Packet Classification Infall Syafalni and Tsutomu Sasao Department of Computer Science and

More information

Derivative Operations for Lattices of Boolean Functions

Derivative Operations for Lattices of Boolean Functions Derivative Operations for Lattices of Boolean Functions Bernd Steinbach Institute of Computer Science Freiberg University of Mining and Technology Freiberg, Germany Email: steinb@informatik.tu-freiberg.de

More information

a cell is represented by a triple of non-negative integers). The next state of a cell is determined by the present states of the right part of the lef

a cell is represented by a triple of non-negative integers). The next state of a cell is determined by the present states of the right part of the lef MFCS'98 Satellite Workshop on Cellular Automata August 25, 27, 1998, Brno, Czech Republic Number-Conserving Reversible Cellular Automata and Their Computation-Universality Kenichi MORITA, and Katsunobu

More information

On the Number of Products to Represent Interval Functions by SOPs with Four-Valued Variables

On the Number of Products to Represent Interval Functions by SOPs with Four-Valued Variables On the Number of Products to Represent Interval Functions by SOPs with Four-Valued Variables Tsutomu Sasao Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 80-80,

More information

NUMERICAL FUNCTION GENERATORS USING BILINEAR INTERPOLATION

NUMERICAL FUNCTION GENERATORS USING BILINEAR INTERPOLATION NUMERICAL FUNCTION GENERATORS USING BILINEAR INTERPOLATION Shinobu Nagayama 1, Tsutomu Sasao 2, Jon T Butler 3 1 Department of Computer Engineering, Hiroshima City University, Japan 2 Department of Computer

More information

MANY PROPERTIES of a Boolean function can be

MANY PROPERTIES of a Boolean function can be IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 6, JUNE 2006 1011 Linear Cofactor Relationships in Boolean Functions Jin S. Zhang, Member, IEEE, Malgorzata Chrzanowska-Jeske,

More information

FSMs state encoding targeting at logic level minimization

FSMs state encoding targeting at logic level minimization BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES Vol. 54, No. 4, 2006 FSMs state encoding targeting at logic level minimization R. CZERWIŃSKI, D. KANIA, and J. KULISZ Institute of Electronics,

More information

326 J. Comput. Sci. & Technol., May 2003, Vol.18, No.3 of single-output FPRM functions. The rest of the paper is organized as follows. In Section 2, p

326 J. Comput. Sci. & Technol., May 2003, Vol.18, No.3 of single-output FPRM functions. The rest of the paper is organized as follows. In Section 2, p May 2003, Vol.18, No.3, pp.325 331 J. Comput. Sci. & Technol. Power Minimization of FPRM Functions Based on Polarity Conversion XIA YinShui (ΛΠ ) 1, WU XunWei ( Ξ ) 2 and A. E. A. Almaini 1 1 School of

More information

Two-Level Logic Synthesis for Probabilistic Computation

Two-Level Logic Synthesis for Probabilistic Computation Two-Level Logic Synthesis for Probabilistic Computation Weikang Qian Marc D. Riedel Department of Electrical Computer Engineering, University of Minnesota, Twin Cities {qianx030, mriedel}@umn.edu ABSTRACT

More information

Design Method for Numerical Function Generators Based on Polynomial Approximation for FPGA Implementation

Design Method for Numerical Function Generators Based on Polynomial Approximation for FPGA Implementation Design Method for Numerical Function Generators Based on Polynomial Approximation for FPGA Implementation Shinobu Nagayama Tsutomu Sasao Jon T. Butler Dept. of Computer Engineering, Dept. of Computer Science

More information

On the Use of Transeunt Triangles to Synthesize Fixed-Polarity Reed-Muller Expansions of Functions

On the Use of Transeunt Triangles to Synthesize Fixed-Polarity Reed-Muller Expansions of Functions On the Use of Transeunt Triangles to Synthesize Fixed-Polarity Reed-Muller Expansions of Functions Jon T. Butler Dept. of Electr. and Comp. Eng. Naval Postgraduate School Monterey, CA 93943-5121 U.S.A.

More information

Hardware to Compute Walsh Coefficients

Hardware to Compute Walsh Coefficients Hardware to Compute alsh Coefficients Yukihiro Iguchi 1 Tsutomu asao 2 1 Department of Computer cience, Meiji University 2 Department of Computer cience and Electronics, Kyushu Institute of Technology

More information

Combinational Logic Design Combinational Functions and Circuits

Combinational Logic Design Combinational Functions and Circuits Combinational Logic Design Combinational Functions and Circuits Overview Combinational Circuits Design Procedure Generic Example Example with don t cares: BCD-to-SevenSegment converter Binary Decoders

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Homework Solution #1. Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, Grading policy is as follows: - Total 100

Homework Solution #1. Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, Grading policy is as follows: - Total 100 Homework Solution #1 Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, 2.48 Grading policy is as follows: - Total 100 Exercise 2.6 (total 10) - Column 3 : 5 point - Column 4 : 5 point Exercise 2.17 (total 25)

More information

by Symbolic Spectral Analysis of Boolean Functions Politecnico di Torino Torino. ITALY 10129

by Symbolic Spectral Analysis of Boolean Functions Politecnico di Torino Torino. ITALY 10129 Predicting the Functional Complexity of Combinational Circuits by Symbolic Spectral Analysis of Boolean Functions Enrico Macii Massimo Poncino Politecnico di Torino Dip. di Automatica e Informatica Torino.

More information

2. THE MAIN RESULTS In the following, we denote by the underlying order of the lattice and by the associated Mobius function. Further let z := fx 2 :

2. THE MAIN RESULTS In the following, we denote by the underlying order of the lattice and by the associated Mobius function. Further let z := fx 2 : COMMUNICATION COMLEITY IN LATTICES Rudolf Ahlswede, Ning Cai, and Ulrich Tamm Department of Mathematics University of Bielefeld.O. Box 100131 W-4800 Bielefeld Germany 1. INTRODUCTION Let denote a nite

More information

Unni Narayanan Hon Wai Leong Ki-Seok Chung C. L. Liu. University of Illinois National University of Singapore University of Illinois

Unni Narayanan Hon Wai Leong Ki-Seok Chung C. L. Liu. University of Illinois National University of Singapore University of Illinois Low Power Multiplexer Decomposition Unni Narayanan Hon Wai Leong Ki-eok Chung C. L. Liu Dept. of Computer cience Dept. of Information and Computer cience Dept. of Computer cience University of Illinois

More information

On Universality of Ternary Reversible Logic Gates

On Universality of Ternary Reversible Logic Gates On Universality of Ternary Reversible Logic Gates Pawel Kerntopf*, Marek A. Perkowski**, Mozammel H. A. Khan*** *Institute of Computer Science, Department of Electronics and Information Technology, Warsaw

More information

Reversible Function Synthesis with Minimum Garbage Outputs

Reversible Function Synthesis with Minimum Garbage Outputs Reversible Function Synthesis with Minimum Garbage Outputs Gerhard W. Dueck and Dmitri Maslov Faculty of Computer Science University of New Brunswick Fredericton, N.B. E3B 5A3 CANADA Abstract Reversible

More information

output H = 2*H+P H=2*(H-P)

output H = 2*H+P H=2*(H-P) Ecient Algorithms for Multiplication on Elliptic Curves by Volker Muller TI-9/97 22. April 997 Institut fur theoretische Informatik Ecient Algorithms for Multiplication on Elliptic Curves Volker Muller

More information

Quality of Minimal Sets of Prime Implicants of Boolean Functions

Quality of Minimal Sets of Prime Implicants of Boolean Functions INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2017, VOL. 63, NO. 2, PP. 165-169 Manuscript received October 9, 2016; revised April, 2017. DOI: 10.1515/eletel-2017-0022 Quality of Minimal Sets of

More information

Random Benchmark Circuits with Controlled Attributes. [iwama,

Random Benchmark Circuits with Controlled Attributes.   [iwama, Random Benchmark Circuits with Controlled Attributes Kazuo Iwama 3 Kensuke Hino y Hiroyuki Kurokawa z Sunao Sawada 3 3Dept of Computer Science and Communication Engineering Kyushu University, Japan yindustrial

More information

Realization of set functions as cut functions of graphs and hypergraphs

Realization of set functions as cut functions of graphs and hypergraphs Discrete Mathematics 226 (2001) 199 210 www.elsevier.com/locate/disc Realization of set functions as cut functions of graphs and hypergraphs Satoru Fujishige a;, Sachin B. Patkar b a Division of Systems

More information

Reversible Circuit Synthesis of Symmetric Functions Using a Simple Regular Structure

Reversible Circuit Synthesis of Symmetric Functions Using a Simple Regular Structure Reversible Circuit Synthesis of Symmetric Functions Using a Simple Regular Structure Arighna Deb 1, Debesh K. Das 1, Hafizur Rahaman 2, Bhargab B. Bhattacharya 3, Robert Wille 4, Rolf Drechsler 4 1 Computer

More information

Sequential Logic Optimization. Optimization in Context. Algorithmic Approach to State Minimization. Finite State Machine Optimization

Sequential Logic Optimization. Optimization in Context. Algorithmic Approach to State Minimization. Finite State Machine Optimization Sequential Logic Optimization! State Minimization " Algorithms for State Minimization! State, Input, and Output Encodings " Minimize the Next State and Output logic Optimization in Context! Understand

More information

Lean clause-sets: Generalizations of minimally. unsatisable clause-sets. Oliver Kullmann. University of Toronto. Toronto, Ontario M5S 3G4

Lean clause-sets: Generalizations of minimally. unsatisable clause-sets. Oliver Kullmann. University of Toronto. Toronto, Ontario M5S 3G4 Lean clause-sets: Generalizations of minimally unsatisable clause-sets Oliver Kullmann Department of Computer Science University of Toronto Toronto, Ontario M5S 3G4 e-mail: kullmann@cs.toronto.edu http://www.cs.utoronto.ca/kullmann/

More information

A Unifying Approach to Edge-valued and Arithmetic Transform Decision Diagrams

A Unifying Approach to Edge-valued and Arithmetic Transform Decision Diagrams Automation and Remote Control, Vol. 63, No., 2002, pp. 25 38. Translated from Avtomatika i Telemekhanika, No., 2002, pp. 40 53. Original Russian Text Copyright c 2002 by Moraga, Sasao, Stanković. AUTOMATA

More information

Chapter 1. Comparison-Sorting and Selecting in. Totally Monotone Matrices. totally monotone matrices can be found in [4], [5], [9],

Chapter 1. Comparison-Sorting and Selecting in. Totally Monotone Matrices. totally monotone matrices can be found in [4], [5], [9], Chapter 1 Comparison-Sorting and Selecting in Totally Monotone Matrices Noga Alon Yossi Azar y Abstract An mn matrix A is called totally monotone if for all i 1 < i 2 and j 1 < j 2, A[i 1; j 1] > A[i 1;

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

Testability of SPP Three-Level Logic Networks

Testability of SPP Three-Level Logic Networks Testability of SPP Three-Level Logic Networks Valentina Ciriani Anna Bernasconi Rolf Drechsler Department of Computer Science University of Pisa 561 Pisa, Italy {ciriani, annab}@di.unipi.it Institute of

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

Class Website:

Class Website: ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #5 Instructor: Andrew B. Kahng (lecture) Email: abk@ece.ucsd.edu Telephone: 858-822-4884 office, 858-353-0550 cell Office:

More information

for generating the LP. Utilizing the retiming-skew relation for level-clocked circuits from [7], bounds on the variables of the minarea LP are obtaine

for generating the LP. Utilizing the retiming-skew relation for level-clocked circuits from [7], bounds on the variables of the minarea LP are obtaine Ecient Minarea Retiming of Large Level-Clocked Circuits Naresh Maheshwari Sachin S. Sapatnekar Department of Electrical & Computer Engineering Department of Electrical & Computer Engineering Iowa State

More information

The Minimization Method of Boolean Functions in Polynomial Set-theoretical Format

The Minimization Method of Boolean Functions in Polynomial Set-theoretical Format The Minimization Method of Boolean Functions in Polynomial Set-theoretical Format Bohdan Rytsar National University L viv Polytechnic S Bandera Str 12 L viv 79646 Ukraine Rzeszow University Chair of Computer

More information

Synthesis of Fredkin-Toffoli Reversible Networks

Synthesis of Fredkin-Toffoli Reversible Networks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL.???, NO.???,??? 2004 1 Synthesis of Fredkin-Toffoli Reversible Networks Dmitri Maslov, Gerhard W. Dueck, Member, IEEE, and D. Michael

More information

Counting and Constructing Minimal Spanning Trees. Perrin Wright. Department of Mathematics. Florida State University. Tallahassee, FL

Counting and Constructing Minimal Spanning Trees. Perrin Wright. Department of Mathematics. Florida State University. Tallahassee, FL Counting and Constructing Minimal Spanning Trees Perrin Wright Department of Mathematics Florida State University Tallahassee, FL 32306-3027 Abstract. We revisit the minimal spanning tree problem in order

More information

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA Technology Independent Multi-Level Logic Optimization Prof. Kurt Keutzer Prof. Sanjit Seshia EECS University of California, Berkeley, CA Thanks to R. Rudell, S. Malik, R. Rutenbar 1 Logic Optimization

More information

Bounds on the OBDD-Size of Integer Multiplication via Universal Hashing

Bounds on the OBDD-Size of Integer Multiplication via Universal Hashing Bounds on the OBDD-Size of Integer Multiplication via Universal Hashing Philipp Woelfel Dept. of Computer Science University Dortmund D-44221 Dortmund Germany phone: +49 231 755-2120 fax: +49 231 755-2047

More information

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov International Book Series "Information Science and Computing" 203 USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING Liudmila Cheremisinova, Dmitry Novikov Abstract. The problem of checking whether a

More information

1 Introduction A general problem that arises in dierent areas of computer science is the following combination problem: given two structures or theori

1 Introduction A general problem that arises in dierent areas of computer science is the following combination problem: given two structures or theori Combining Unication- and Disunication Algorithms Tractable and Intractable Instances Klaus U. Schulz CIS, University of Munich Oettingenstr. 67 80538 Munchen, Germany e-mail: schulz@cis.uni-muenchen.de

More information

Polar Codes: Graph Representation and Duality

Polar Codes: Graph Representation and Duality Polar Codes: Graph Representation and Duality arxiv:1312.0372v1 [cs.it] 2 Dec 2013 M. Fossorier ETIS ENSEA/UCP/CNRS UMR-8051 6, avenue du Ponceau, 95014, Cergy Pontoise, France Email: mfossorier@ieee.org

More information

Decomposition of Multi-Output Boolean Functions - PRELIMINARY VERSION

Decomposition of Multi-Output Boolean Functions - PRELIMINARY VERSION Decomposition of Multi-Output Boolean Functions - PRELIMINARY VERSION Dimitrios Voudouris, Marios Kalathas and George Papakonstantinou National Technical University of Athens (funded by the project Protagoras/NTUA)

More information

Extremal problems in logic programming and stable model computation Pawe l Cholewinski and Miros law Truszczynski Computer Science Department Universi

Extremal problems in logic programming and stable model computation Pawe l Cholewinski and Miros law Truszczynski Computer Science Department Universi Extremal problems in logic programming and stable model computation Pawe l Cholewinski and Miros law Truszczynski Computer Science Department University of Kentucky Lexington, KY 40506-0046 fpaweljmirekg@cs.engr.uky.edu

More information

Boolean Matching Using Generalized Reed-Muller Forms

Boolean Matching Using Generalized Reed-Muller Forms oolean Matching Using Generalized Reed-Muller Forms Chien-Chung Tsai Malgorzata Marek-Sadowska Department of Electrical and Computer Engineering University of California Santa arbara, CA 93106 USA Abstract

More information

Combinational Logic. Review of Combinational Logic 1

Combinational Logic. Review of Combinational Logic 1 Combinational Logic! Switches -> Boolean algebra! Representation of Boolean functions! Logic circuit elements - logic gates! Regular logic structures! Timing behavior of combinational logic! HDLs and combinational

More information

On the number of generators for transeunt triangles

On the number of generators for transeunt triangles Discrete Applied Mathematics 108 (2001) 09 16 Note On the number of generators for transeunt triangles J.T. Butler a; ;1, G.W. Dueck b; 2, S.N. Yanushkevich c;, V.P. Shmerko d; 4 a Department of Electrical

More information

In

In A Transformation Based Algorithm for Ternary Reversible Logic Synthesis using Universally Controlled Ternary Gates Erik Curtis, Marek Perkowski+ Mentor Graphics Corp., Wilsonville, OR 97070, Erik_Curtis@mentor.com

More information

Circuit depth relative to a random oracle. Peter Bro Miltersen. Aarhus University, Computer Science Department

Circuit depth relative to a random oracle. Peter Bro Miltersen. Aarhus University, Computer Science Department Circuit depth relative to a random oracle Peter Bro Miltersen Aarhus University, Computer Science Department Ny Munkegade, DK 8000 Aarhus C, Denmark. pbmiltersen@daimi.aau.dk Keywords: Computational complexity,

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

PAPER Head-Tail Expressions for Interval Functions

PAPER Head-Tail Expressions for Interval Functions IEICE TRANS. FUNDAMENTALS, VOL.E97 A, NO.10 OCTOBER 014 043 PAPER Head-Tail Expressions for Interval Functions Infall SYAFALNI a) and Tsutomu SASAO b), Members SUMMARY This paper shows a method to represent

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information

Elementary 2-Group Character Codes. Abstract. In this correspondence we describe a class of codes over GF (q),

Elementary 2-Group Character Codes. Abstract. In this correspondence we describe a class of codes over GF (q), Elementary 2-Group Character Codes Cunsheng Ding 1, David Kohel 2, and San Ling Abstract In this correspondence we describe a class of codes over GF (q), where q is a power of an odd prime. These codes

More information

The Nonapproximability of OBDD Minimization

The Nonapproximability of OBDD Minimization The Nonapproximability of OBDD Minimization Detlef Sieling FB Informatik, LS II, Univ. Dortmund 44221 Dortmund, Fed. Rep. of Germany sieling@ls2.cs.uni-dortmund.de Abstract The size of Ordered Binary Decision

More information

Basing Decisions on Sentences in Decision Diagrams

Basing Decisions on Sentences in Decision Diagrams Proceedings of the Twenty-Sixth AAAI Conference on Artificial Intelligence Basing Decisions on Sentences in Decision Diagrams Yexiang Xue Department of Computer Science Cornell University yexiang@cs.cornell.edu

More information

Unit 1A: Computational Complexity

Unit 1A: Computational Complexity Unit 1A: Computational Complexity Course contents: Computational complexity NP-completeness Algorithmic Paradigms Readings Chapters 3, 4, and 5 Unit 1A 1 O: Upper Bounding Function Def: f(n)= O(g(n)) if

More information

[3] R.M. Colomb and C.Y.C. Chung. Very fast decision table execution of propositional

[3] R.M. Colomb and C.Y.C. Chung. Very fast decision table execution of propositional - 14 - [3] R.M. Colomb and C.Y.C. Chung. Very fast decision table execution of propositional expert systems. Proceedings of the 8th National Conference on Articial Intelligence (AAAI-9), 199, 671{676.

More information

Method of Asynchronous Two-Level Logic Implementation

Method of Asynchronous Two-Level Logic Implementation Method of Asynchronous Two-Level Logic Implementation Igor Lemberski, Member, IAENG Abstract - We proposed the method of two-level (AND-OR) implementation of minimized asynchronous logic functions. We

More information

Low Power State Assignment Targeting Twoand. Multi-level Logic Implementations. Chi-Ying Tsui. Hong Kong University of Science and Technology

Low Power State Assignment Targeting Twoand. Multi-level Logic Implementations. Chi-Ying Tsui. Hong Kong University of Science and Technology Low Power State Assignment Targeting Twoand Multi-level Logic Implementations Chi-Ying Tsui Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology Clear Water

More information

Multiple valued input generalised Reed-Muller forms

Multiple valued input generalised Reed-Muller forms Multiple valued input generalised Reed-Muller forms I. Schafer M.A. Perkowski Indexing terms: Multiple valued inputs, Programmable devices, Reed-Muller expansion Abstract: The concept of canonical multiple

More information

CHAPTER 2 AN ALGORITHM FOR OPTIMIZATION OF QUANTUM COST. 2.1 Introduction

CHAPTER 2 AN ALGORITHM FOR OPTIMIZATION OF QUANTUM COST. 2.1 Introduction CHAPTER 2 AN ALGORITHM FOR OPTIMIZATION OF QUANTUM COST Quantum cost is already introduced in Subsection 1.3.3. It is an important measure of quality of reversible and quantum circuits. This cost metric

More information

CS137: Electronic Design Automation. Today. Input Encoding. Output Encoding. State Encoding. Finite-State Machine. Encoding

CS137: Electronic Design Automation. Today. Input Encoding. Output Encoding. State Encoding. Finite-State Machine. Encoding CS137: Electronic Design Automation Today Day 7: October 12, 2005 Sequential Optimization (FSM Encoding) Encoding Input Output State Encoding exact two-level 1 2 Input Encoding Output Encoding Pick codes

More information

Analysis on Graphs. Alexander Grigoryan Lecture Notes. University of Bielefeld, WS 2011/12

Analysis on Graphs. Alexander Grigoryan Lecture Notes. University of Bielefeld, WS 2011/12 Analysis on Graphs Alexander Grigoryan Lecture Notes University of Bielefeld, WS 0/ Contents The Laplace operator on graphs 5. The notion of a graph............................. 5. Cayley graphs..................................

More information

A Deep Convolutional Neural Network Based on Nested Residue Number System

A Deep Convolutional Neural Network Based on Nested Residue Number System A Deep Convolutional Neural Network Based on Nested Residue Number System Hiroki Nakahara Tsutomu Sasao Ehime University, Japan Meiji University, Japan Outline Background Deep convolutional neural network

More information