Abstract. 1 Introduction. 2 Continuous-Time Digital Filters

Size: px
Start display at page:

Download "Abstract. 1 Introduction. 2 Continuous-Time Digital Filters"

Transcription

1 Continuous-Time Digital Filters for Sample-Rate Conversion in Reconfigurable Radio Terminals Tim Hentschel Gerhard Fettweis, Dresden University of Technology, Mannesmann Mobilfunk Chair for Mobile Communications Systems, D-0062 Dresden, Germany Abstract Reconfigurable radio terminals must cope with a multitude of master clock rates of diverse mobile communications air interfaces. Digital sample rate conversion (SRC) is an elegant way to enable the processing of signals with sample rates incommensurate to the clock rate of a nonsynchronized analog-to-digital converter. SRC is a process of resampling thus, requires anti-aliasing filtering. The well-known Farrow-structure provides a means of implementing digital SRC on a parameterizable hardware platform enabling the adaptation to different rate change factors. Still, the Farrow-structure can only implement filters with poor anti-aliasing characteristics. The transposed Farrow-structure introduced in this article overcomes these problems thus, represents a perfect means for SRC in reconfigurable radio terminals. A companion article comprehensively covers applications. Introduction Reconfigurable radio is supposed to be a solution for both equipment manufacturers mobile network operators, to keep pace with the fast evolution of mobile communications stards. The ultimate goal of this idea is the software radio which is completely independent from the air-interface. Different air-interfaces are based on different master clock rates which a reconfigurable radio needs to cope with. A solution to this is to exchange the master crystal when reconfiguring the radio. If this is unacceptable one could fill up the empty space of a terminal with a selection of available crystals just to be on the safe side always to have an appropriate crystal available. Both ideas are neither elegant nor cost-efficient. A way-out from this dilemma is the implementation of one fixed master crystal which mainly controls the analog-to-digital the digital-to-analog converters, to calculate the data at a stard-specific clock rate by means of digital samplerate conversion (SRC). It is not within the scope of this article to go into detail about SRC. However, it should be stressed that SRC is not interpolation. SRC is a process of resampling thus, causes spectral repetition known as imaging. If there are no restrictions with respect to the signal (e.g., regarding oversampling) also aliasing must be expected from SRC. Since aliasing destroys signal contents it can be concluded that anti-aliasing is the most important property to be obeyed in any SRC system [6, 7]. Based on this spectral interpretation of SRC it can be concluded that interpolation is an appropriate method for SRC only if the signals are considerably oversampled i.e., if the interpolation filter attenuates all possible aliasing-components by attenuating the (relatively narrow) image components. An equation describing the filtering resampling of SRC is (see also e.g., [4]) y(m )= k= x(k ) h(m k ) () It describes a convolution-like operation between the samples of the input signal x(k ) samples of the continuous-time impulse response h(t) of the required filter, delivering the signal y(m ) at a new sample rate. Ideally, h(t) is an ideal low-pass reconstruction filter []. Each calculation of an output sample requires another set of samples of h(t). Hence, Eq. () describes a time-varying system. Since can be arbitrary, it is necessary to know the continuous-time course of the filter s impulse response h(t), rather than some dedicated samples. Therefore, the filter can be regarded as a continuous-time filter within a time-varying discrete-time system. Restricting leads to rational factor SRC, if = L M, with L,M N + (2) integer factor SRC, if either L =, or M =, the well-known discrete-time convolution (i.e., no SRC) for L = M =. We shall not apply these restrictions in this article. Still, solutions for rational or integer factor SRC can be obtained by substituting Eq. (2) to the results of this article. 2 Continuous-Time Digital Filters Eq. () suggests that SRC requires a continuous-time digital filter. The filter is digital since certain (quantized) samples of the input signal the impulse response are involved in the computation of one output sample. Still, since

2 order of the polynomials x(k ) y(m ) c 0 (0) c 0 () c 0 (2) c 0 (N ) x 0 (m ) c (0) c () c (2) c (N ) x (m ) c n (0) c n () c n (2) c n (N ) x n (m ) number of polynomial pieces µ m Figure : Farrow-Structure ( = ) the samples of the impulse response of the respective filter depend on the actual values of,,m, there is no way of selecting storing a certain set of samples of h(t). Generally, the complete continuous-time impulse response h(t) must be known. For rational integer factor SRC the system described by Eq. () becomes periodically time-varying. Hence, only a certain set of samples of h(t) is involved in the computations. This set of coefficients can be stored employed in the typical systems for rational factor SRC e.g., polyphase filters []. If L M get large, the necessary memory size might be infeasible. Thus, also for rational factor SRC it is very attractive to calculate the necessary samples of h(t) on dem as it is required for arbitrary factor SRC. In order to keep the effort low for calculating the samples of h(t), simple functions describing continuous-time impulse responses are sought for. Polynomials are such simple functions. Therefore, polynomial filters are promising cidates to be employed in systems for SRC. We shall limit the class of polynomial filters to piecewise polynomial impulse responses composed from pieces of equal length. Given polynomial pieces of degree n length { n h j (t)= c i( j) ( ) t i 0 t < (3) 0 else a piecewise impulse response composed from N polynomials h j (t) is N h(t)= j=0 h j (t j ) (4) An equivalent description is h(t)=h t ( t ) t, 0 t < N (5) where denotes the floor-operation i.e., the largest integer smaller or equal than ( ). Eq. (5) might seem to be a somewhat odd description. Still, it enables to give up the usual limitations on t for the polynomial pieces in Eq. (3) by shifting them to the description of h(t) itself (Eq. (5)). Thus, it becomes possible to directly substitute Eq. (3) to Eq. (5). n ( t ) ( t t ) i h(t)= c i, 0 t < N (6) An open question is the choice of. Therearetwo choices for which Eq. (6) can be simplified considerably a hardware structure can be derived, namely = =. The next two sections are dedicated to these simplifications. 3 The Farrow-Structure Substituting Eq. (6) to Eq. () setting = yields n ( ) mt2 k y(m )= x(k ) c i k= ( m T ) 2 mt2 kt i (7) k, 0 m k < N

3 ˆx 0 (k ) ˆx (k ) ˆx n (k ) µ k x(k ) c 0 (0) c (0) c n (0) y(m ) number of polynomials c 0 () c () c n () c 0 (N ) c (N ) c n (N ) order of the polynomials Figure 2: Transposed Farrow-Structure for = ( sts for Integrate--Dump) which can be simplified to where x i (m )= n y(m )= k= µ m = m x i (m ) (µ m ) i (8) ( x(k ) c i m T ) 2 k mt2 (9) [0,) (0) µ m is the so-called intersample position, indicating the distance between the previous input sample the current output sample (Figure 3). Eqs. (8)-(0) describe an implementation of SRC with polynomial filters which is commonly known as the Farrow-structure [2, 3]. It is sketched in Figure. It can also be interpreted as a polyphase interpolator with an infinite number of polyphase branches that are implemented by just one reference polyphase branch, a polynomial description of how to calculate the remaining ones. The reference polyphase branch can be obtained by setting µ m = 0(i.e.,t = k for k = 0,,...,N in Eq. (6)) thus yielding c 0 (l). It represents the very samples of the impulse response h(t) which mark the starting points of the individual polynomial pieces. These starting points (i.e., the reference branch) the order of the connecting polynomial pieces determine the transfer characteristics of the SRC system. The higher the order of the polynomial pieces the better the impulse response h(t) can be matched to the application. If high order polynomials are infeasible, it is also possible to use shorter polynomials of lower order. In this case more reference polyphase branches (i.e., starting points of polynomial pieces) are required. This can be achieved by decreasing the length of the polynomial pieces by a factor = () leading to time-varying coefficients in the Farrowstructure. This approach can be seen as a generalization of the Farrow-structure has been introduced as the generalized Farrow-structure [8]. 4 The Transposed Farrow-Structure Having learned that with Eq. () a generalization was possible compared to =, we now start instantly with the more general case of setting =. Substituting Eq. (6) to Eq. () yields y(m )= k= n x(k ) ( m k 0 m k < N c i ( m k m k ) i, ) (2)

4 (m ) m (m + ) output samples: µ k+ µ k+2 input samples: µ m time (k ) k (k + ) (k + 2) Figure 3: Sample Time Relations ( = ) which can be simplified to n y(m )= k= ˆx i (k ) c i (m k T ) (3) with ˆx i (k )=x(k ) (µ k ) i (4) µ k = k T k [0,) (5) For = the quantity µ k indicates the distance between the current input sample the next output sample. Thus, it is equal to the intersample position µ m of Eq. (0) (Figure 3). Eqs. (3)-(5) describe a novel structure implementing SRC with piecewise polynomial filters with a piece length of =. It is shown in Figure 2 for the case =. Applying the concepts of generalized transposition of networks [] to the structure of Figure it can be verified that its generalized transpose is the structure of Figure 2. Therefore, it should be named the Transposed Farrow-Structure, orthegeneralized Transposed Farrow- Structure in case of >. While the Farrow-structure can be derived from the respective equations relatively easily, this is not so obvious for the Transposed Farrow-structure. The most important equation to underst is Eq. (3). Its second sum describes a convolution-like operation. For = it can be observed that for any fixed m certain consecutive samples ˆx i (k ) might be weighted with the same c i (l) before being summed up contributing to a new output sample. This comes from the fact that k does not necessarily change when incrementing k (see Figure 3 where two input samples arrive at (k + ) (k + 2) before a new output sample is generated at (m + ) ). The respective summation can be realized by means of an integrate-dump circuit. This principle does not change for >. In this case different (time-varying) c i (l) might be used for weighting the ˆx i (k ) before integration. 5 Comparison Two structures for SRC with piecewise polynomial filters have been presented. The fundamental difference about the performance of the two is the length of the polynomial pieces. A typical example for = are Lagrange interpolation filters. These filters have transfer zeros clustered about integer multiples of the input sample rate thus, attenuate the image components [9]. They have very poor anti-aliasing performance. By giving up the interpolation constraint several optimization procedures can be employed resulting in spreading the clustered transfer zeros thus, widening the stop bs [0]. Still, it is not possible to cluster the transfer zeros about the aliasing components i.e., about integer multiples of the output sample rate 2. This is the moment where the transposed Farrowstructure comes into play. By using the same polynomial functions as with the Farrow-structure, still, stretched (or compressed) to meet =, the transfer zeros are clustered about the aliasing components at integer multiples of thus, attenuate the aliasing components. Hence, the comprehensive literature on polynomial interpolators can be exploited to design polynomial sample-rate converters that perform anti-aliasing. 6 Conclusions On the basis of the description of polynomial impulse responses of Eq. (6) it became possible to derive the wellknown Farrow-structure moreover, the novel transposed Farrow-structure. The Farrow-structure is principally a polynomial polyphase-interpolator. With the introduction of the transposed Farrow-structure a polynomial polyphase-decimator has been presented. It can realize anti-aliasing which is necessary in most SRC applications. A typical application is SRC in reconfigurable radio terminals where the hardware platform for SRC must be parameterizable. The parameterizability of both the original the transposed Farrow-strutures is given by the fact that they implement continuous-time impulse responses. A companion paper [5] deals with the application of the transposed Farrow-structure for combined filtering for SRC synchronization.

5 7 Acknowledgment Parts of this work have been supported by the European Commission, ACTS project SORT (Software Radio Technology). Literature [] R.E.CrochiereL.R.Rabiner. Multirate Digital Signal processing. Prentice-Hall, 983. [2] L. Erup, F. M. Gardner, R. A. Harris. Interpolation in Digital Modems - Part II: Implementation Performanc. IEEE Transactions on Communications, COM-4(6): , une 993. [3] C. W. Farrow. A Continuously Variable Digital Delay Element. In Proc. IEEE International Symposium on Circuits Systems (ISCAS 88), pages , Espoo, Finl, une 988. [4] F. M. Gardner. Interpolation in Digital Modems - Part I: Fundamentals. IEEE Transactions on Communications, COM-4(3):50 507, Mar [5] M. Henker G. Fettweis. Combined filter for sample rate conversion, matched filtering, symbol synchronization in software radio terminals. In this conference. [6] T. Hentschel G. Fettweis. Sample Rate Conversion for Software Radio. IEEE Communications Magazine, pages 2 0, Aug [7] T. Hentschel, M. Henker, G. P. Fettweis. The Digital Front-End of Software Radio Terminals. IEEE Personal Communications, 6(4):40 46, Aug Biographies Tim Hentschel (hentsch@ifn.et.tu-dresden.de) received his MSc/Dipl.-Ing. degree in electrical engineering from King s College London, University of London, U.K., the Dresden University of Technology, Germany, in , respectively. From 995 to 996 he was with Philips Communications Industries, Nurnberg, Germany. Since May 996 he is with the Mannesmann Mobilfunk Chair for Mobile Communications Systems at the Dresden University of Technology, Germany, working towards his PhD. His current research interests include software radio, specifically the design investigation of digital signal processing algorithms for reconfigureable front-ends. Gerhard Fettweis (fettweis@ifn.et.tu-dresden.de) received his MSc/Dipl.-Ing. PhD. degree in electrical engineering from the Aachen University of Technology (RWTH), Germany, in , respectively. From 990 to 99 he was a Visiting Scientist at the IBM Almaden Research Center in San ose, CA, working on signal processing for disk drives. From 99 to 994 he was Scientist with TCSI, Berkeley, CA, responsible for signal processor developments for mobile phones. Since September 994 he holds the Mannesmann Mobilfunk Chair for Mobile Communications Systems at the Dresden University of Technology, Germany. He is an elected member of the SSC Society s Administrative Commitee, of IEEE ComSoc Board of governors, since , respectively. He has been accociate editor for IEEE Trans. on CAS II, now is associate editor for IEEE -SAC wireless series. [8] T. A. Ramstad. Fractional Rate Decimator Interpolator Design. In Proceedings of the IX European Signal Processing Conference (EUSIPCO 98), pages , Isl of Rhodes, Greece, Sept [9] R. W. Schafer L. R. Rabiner. A Digital Signal Processing Approach to Interpolation. Proceedings of the IEEE, 6(6): , une 973. [0]. Vesma. Optimization Applications of Polynomial-Based Interpolation Filters. PhD thesis, Tampere University of Technology, P.O.B. 527, FIN- 330 Tampere Finl, May 999. ISBN

POLYNOMIAL-BASED INTERPOLATION FILTERS PART I: FILTER SYNTHESIS*

POLYNOMIAL-BASED INTERPOLATION FILTERS PART I: FILTER SYNTHESIS* CIRCUITS SYSTEMS SIGNAL PROCESSING c Birkhäuser Boston (27) VOL. 26, NO. 2, 27, PP. 115 146 DOI: 1.17/s34-5-74-8 POLYNOMIAL-BASED INTERPOLATION FILTERS PART I: FILTER SYNTHESIS* Jussi Vesma 1 and Tapio

More information

Weighted Least-Squares Method for Designing Variable Fractional Delay 2-D FIR Digital Filters

Weighted Least-Squares Method for Designing Variable Fractional Delay 2-D FIR Digital Filters 114 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL 47, NO 2, FEBRUARY 2000 Weighted Least-Squares Method for Designing Variable Fractional Delay 2-D FIR Digital

More information

DISCRETE-TIME SIGNAL PROCESSING

DISCRETE-TIME SIGNAL PROCESSING THIRD EDITION DISCRETE-TIME SIGNAL PROCESSING ALAN V. OPPENHEIM MASSACHUSETTS INSTITUTE OF TECHNOLOGY RONALD W. SCHÄFER HEWLETT-PACKARD LABORATORIES Upper Saddle River Boston Columbus San Francisco New

More information

Averaged Lagrange Method for interpolation filter

Averaged Lagrange Method for interpolation filter Acoustics 8 Paris Averaged Lagrange Method for interpolation filter J. Andrea, F. Coutard, P. Schweitzer and E. Tisserand LIEN - BP 29, Université Henri Poincaré, 5456 Vandoeuvre, France jonathan.andrea@lien.uhp-nancy.fr

More information

Lifting Parameterisation of the 9/7 Wavelet Filter Bank and its Application in Lossless Image Compression

Lifting Parameterisation of the 9/7 Wavelet Filter Bank and its Application in Lossless Image Compression Lifting Parameterisation of the 9/7 Wavelet Filter Bank and its Application in Lossless Image Compression TILO STRUTZ Deutsche Telekom AG, Hochschule für Telekommunikation Institute of Communications Engineering

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing Multirate Signal Processing Dr. Manar Mohaisen Office: F28 Email: manar.subhi@kut.ac.kr School of IT Engineering Review of the Precedent ecture Introduced Properties of FIR Filters

More information

An Algebraic Approach to Polyphase Decomposition with an Application to Sample Rate Conversion - A Tutorial

An Algebraic Approach to Polyphase Decomposition with an Application to Sample Rate Conversion - A Tutorial An Algebraic Approach to olyphase Decomposition with an Application to Sample Rate Conversion - A utorial im Hentschel Vodafone Chair Mobile Communications Systems echnische Universität Dresden 0062 Dresden

More information

Design of Orthonormal Wavelet Filter Banks Using the Remez Exchange Algorithm

Design of Orthonormal Wavelet Filter Banks Using the Remez Exchange Algorithm Electronics and Communications in Japan, Part 3, Vol. 81, No. 6, 1998 Translated from Denshi Joho Tsushin Gakkai Ronbunshi, Vol. J80-A, No. 9, September 1997, pp. 1396 1402 Design of Orthonormal Wavelet

More information

A FRACTIONAL DELAY FIR FILTER BASED ON LAGRANGE INTERPOLATION OF FARROW STRUCTURE

A FRACTIONAL DELAY FIR FILTER BASED ON LAGRANGE INTERPOLATION OF FARROW STRUCTURE A Fractional Delay Fir Filter Based on Lagrange Interpolation of Farrow Structure A FRACTIONAL DELAY FIR FILTER BASED ON LAGRANGE INTERPOLATION OF FARROW STRUCTURE 1 K. RAJALAKSHMI, 2 SWATHI GONDI & 3

More information

Symmetric Wavelet Tight Frames with Two Generators

Symmetric Wavelet Tight Frames with Two Generators Symmetric Wavelet Tight Frames with Two Generators Ivan W. Selesnick Electrical and Computer Engineering Polytechnic University 6 Metrotech Center, Brooklyn, NY 11201, USA tel: 718 260-3416, fax: 718 260-3906

More information

R13 SET - 1

R13 SET - 1 R13 SET - 1 III B. Tech II Semester Regular Examinations, April - 2016 DIGITAL SIGNAL PROCESSING (Electronics and Communication Engineering) Time: 3 hours Maximum Marks: 70 Note: 1. Question Paper consists

More information

Integrated Direct Sub-band Adaptive Volterra Filter and Its Application to Identification of Loudspeaker Nonlinearity

Integrated Direct Sub-band Adaptive Volterra Filter and Its Application to Identification of Loudspeaker Nonlinearity Integrated Direct Sub-band Adaptive Volterra Filter and Its Application to Identification of Loudspeaker Nonlinearity Satoshi Kinoshita Department of Electrical and Electronic Engineering, Kansai University

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,35 18, 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

Closed-Form Design of Maximally Flat IIR Half-Band Filters

Closed-Form Design of Maximally Flat IIR Half-Band Filters IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 49, NO. 6, JUNE 2002 409 Closed-Form Design of Maximally Flat IIR Half-B Filters Xi Zhang, Senior Member, IEEE,

More information

SYNTHESIS OF BIRECIPROCAL WAVE DIGITAL FILTERS WITH EQUIRIPPLE AMPLITUDE AND PHASE

SYNTHESIS OF BIRECIPROCAL WAVE DIGITAL FILTERS WITH EQUIRIPPLE AMPLITUDE AND PHASE SYNTHESIS OF BIRECIPROCAL WAVE DIGITAL FILTERS WITH EQUIRIPPLE AMPLITUDE AND PHASE M. Yaseen Dept. of Electrical and Electronic Eng., University of Assiut Assiut, Egypt Tel: 088-336488 Fax: 088-33553 E-Mail

More information

ONE-DIMENSIONAL (1-D) two-channel FIR perfect-reconstruction

ONE-DIMENSIONAL (1-D) two-channel FIR perfect-reconstruction 3542 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 11, DECEMBER 2008 Eigenfilter Approach to the Design of One-Dimensional and Multidimensional Two-Channel Linear-Phase FIR

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

DIGITAL filters capable of changing their frequency response

DIGITAL filters capable of changing their frequency response IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL 46, NO 8, AUGUST 1999 1035 An Improved Weighted Least-Squares Design Variable Fractional Delay FIR Filters Wu-Sheng

More information

Multirate Digital Signal Processing

Multirate Digital Signal Processing Multirate Digital Signal Processing Basic Sampling Rate Alteration Devices Up-sampler - Used to increase the sampling rate by an integer factor Down-sampler - Used to decrease the sampling rate by an integer

More information

Power-Efficient Linear Phase FIR Notch Filter Design Using the LARS Scheme

Power-Efficient Linear Phase FIR Notch Filter Design Using the LARS Scheme Wei Xu, Jiaxiang Zhao, Hongie Wang, Chao Gu Power-Efficient Linear Phase FIR Notch Filter Design Using the LARS Scheme WEI XU Tianin Polytechnic University Institute of Electronics and Information Engineering

More information

On the Frequency-Domain Properties of Savitzky-Golay Filters

On the Frequency-Domain Properties of Savitzky-Golay Filters On the Frequency-Domain Properties of Savitzky-Golay Filters Ronald W Schafer HP Laboratories HPL-2-9 Keyword(s): Savitzky-Golay filter, least-squares polynomial approximation, smoothing Abstract: This

More information

Chapter 12 Variable Phase Interpolation

Chapter 12 Variable Phase Interpolation Chapter 12 Variable Phase Interpolation Contents Slide 1 Reason for Variable Phase Interpolation Slide 2 Another Need for Interpolation Slide 3 Ideal Impulse Sampling Slide 4 The Sampling Theorem Slide

More information

Multirate signal processing

Multirate signal processing Multirate signal processing Discrete-time systems with different sampling rates at various parts of the system are called multirate systems. The need for such systems arises in many applications, including

More information

OPTIMIZED PROTOTYPE FILTER BASED ON THE FRM APPROACH

OPTIMIZED PROTOTYPE FILTER BASED ON THE FRM APPROACH CIRCUITS SYSTEMS SIGNAL PROCESSING c Birkhäuser Boston (2003) VOL. 22, NO. 2,2003, PP. 193 210 OPTIMIZED PROTOTYPE FILTER BASED ON THE FRM APPROACH FOR COSINE-MODULATED FILTER BANKS* Miguel B. Furtado

More information

DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING EXAMINATIONS 2010

DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING EXAMINATIONS 2010 [E2.5] IMPERIAL COLLEGE LONDON DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING EXAMINATIONS 2010 EEE/ISE PART II MEng. BEng and ACGI SIGNALS AND LINEAR SYSTEMS Time allowed: 2:00 hours There are FOUR

More information

Filter Banks for Image Coding. Ilangko Balasingham and Tor A. Ramstad

Filter Banks for Image Coding. Ilangko Balasingham and Tor A. Ramstad Nonuniform Nonunitary Perfect Reconstruction Filter Banks for Image Coding Ilangko Balasingham Tor A Ramstad Department of Telecommunications, Norwegian Institute of Technology, 7 Trondheim, Norway Email:

More information

SEQUENTIAL ESTIMATION OF THE STEADY-STATE VARIANCE IN DISCRETE EVENT SIMULATION

SEQUENTIAL ESTIMATION OF THE STEADY-STATE VARIANCE IN DISCRETE EVENT SIMULATION SEQUENTIAL ESTIMATION OF THE STEADY-STATE VARIANCE IN DISCRETE EVENT SIMULATION Adriaan Schmidt Institute for Theoretical Information Technology RWTH Aachen University D-5056 Aachen, Germany Email: Adriaan.Schmidt@rwth-aachen.de

More information

Basic Multi-rate Operations: Decimation and Interpolation

Basic Multi-rate Operations: Decimation and Interpolation 1 Basic Multirate Operations 2 Interconnection of Building Blocks 1.1 Decimation and Interpolation 1.2 Digital Filter Banks Basic Multi-rate Operations: Decimation and Interpolation Building blocks for

More information

Implementation of Digital Chaotic Signal Generator Based on Reconfigurable LFSRs for Multiple Access Communications

Implementation of Digital Chaotic Signal Generator Based on Reconfigurable LFSRs for Multiple Access Communications Australian Journal of Basic and Applied Sciences, 4(7): 1691-1698, 2010 ISSN 1991-8178 Implementation of Digital Chaotic Signal Generator Based on Reconfigurable LFSRs for Multiple Access Communications

More information

Girth Analysis of Polynomial-Based Time-Invariant LDPC Convolutional Codes

Girth Analysis of Polynomial-Based Time-Invariant LDPC Convolutional Codes IWSSIP 212, 11-13 April 212, Vienna, Austria ISBN 978-3-2-2328-4 Girth Analysis of Polynomial-Based Time-Invariant LDPC Convolutional Codes Hua Zhou and Norbert Goertz Institute of Telecommunications Vienna

More information

Bifrequency and Bispectrum Maps: A New Look at Multirate Systems with Stochastic Inputs

Bifrequency and Bispectrum Maps: A New Look at Multirate Systems with Stochastic Inputs IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 48, NO. 3, MARCH 2000 723 Bifrequency and Bispectrum Maps: A New Look at Multirate Systems with Stochastic Inputs Sony Akkarakaran and P. P. Vaidyanathan, Fellow,

More information

Performance Bounds for Polynomial Phase Parameter Estimation with Nonuniform and Random Sampling Schemes

Performance Bounds for Polynomial Phase Parameter Estimation with Nonuniform and Random Sampling Schemes IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 48, NO. 2, FEBRUARY 2000 331 Performance Bounds for Polynomial Phase Parameter Estimation with Nonuniform Rom Sampling Schemes Jonathan A. Legg, Member, IEEE,

More information

Sensitivity Analysis of Coupled Resonator Filters

Sensitivity Analysis of Coupled Resonator Filters IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 10, OCTOBER 2000 1017 Sensitivity Analysis of Coupled Resonator Filters Smain Amari, Member, IEEE Abstract

More information

New Design of Orthogonal Filter Banks Using the Cayley Transform

New Design of Orthogonal Filter Banks Using the Cayley Transform New Design of Orthogonal Filter Banks Using the Cayley Transform Jianping Zhou, Minh N. Do and Jelena Kovačević Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign,

More information

A DESIGN OF FIR FILTERS WITH VARIABLE NOTCHES CONSIDERING REDUCTION METHOD OF POLYNOMIAL COEFFICIENTS FOR REAL-TIME SIGNAL PROCESSING

A DESIGN OF FIR FILTERS WITH VARIABLE NOTCHES CONSIDERING REDUCTION METHOD OF POLYNOMIAL COEFFICIENTS FOR REAL-TIME SIGNAL PROCESSING International Journal of Innovative Computing, Information and Control ICIC International c 23 ISSN 349-498 Volume 9, Number 9, September 23 pp. 3527 3536 A DESIGN OF FIR FILTERS WITH VARIABLE NOTCHES

More information

Co-Prime Arrays and Difference Set Analysis

Co-Prime Arrays and Difference Set Analysis 7 5th European Signal Processing Conference (EUSIPCO Co-Prime Arrays and Difference Set Analysis Usham V. Dias and Seshan Srirangarajan Department of Electrical Engineering Bharti School of Telecommunication

More information

Structures for Interpolation, Decimation, and Nonuniform Sampling Based on Newton s Interpolation Formula

Structures for Interpolation, Decimation, and Nonuniform Sampling Based on Newton s Interpolation Formula Structures for Interpolation, Deciation, and Nonunifor Sapling Based on Newton s Interpolation Forula Vesa Lehtinen, arkku Renfors To cite this version: Vesa Lehtinen, arkku Renfors. Structures for Interpolation,

More information

Pipelined Viterbi Decoder Using FPGA

Pipelined Viterbi Decoder Using FPGA Research Journal of Applied Sciences, Engineering and Technology 5(4): 1362-1372, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: July 05, 2012 Accepted: August

More information

4214 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 54, NO. 11, NOVEMBER 2006

4214 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 54, NO. 11, NOVEMBER 2006 4214 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 54, NO. 11, NOVEMBER 2006 Closed-Form Design of Generalized Maxflat R-Regular FIR M th-band Filters Using Waveform Moments Xi Zhang, Senior Member, IEEE,

More information

CHEBYSHEV polynomials have been an essential mathematical

CHEBYSHEV polynomials have been an essential mathematical IEEE TRANSACTIONS ON COMPUTERS, VOL X, NO X, MONTH YYYY 1 A Karatsuba-based Algorithm for Polynomial Multiplication in Chebyshev Form Juliano B Lima, Student Member, IEEE, Daniel Panario, Member, IEEE,

More information

! Downsampling/Upsampling. ! Practical Interpolation. ! Non-integer Resampling. ! Multi-Rate Processing. " Interchanging Operations

! Downsampling/Upsampling. ! Practical Interpolation. ! Non-integer Resampling. ! Multi-Rate Processing.  Interchanging Operations Lecture Outline ESE 531: Digital Signal Processing Lec 10: February 14th, 2017 Practical and Non-integer Sampling, Multirate Sampling! Downsampling/! Practical Interpolation! Non-integer Resampling! Multi-Rate

More information

Implementation of a Space-Time-Channel-Filter

Implementation of a Space-Time-Channel-Filter Implementation of a Space-Time-Channel-Filter Nadja Lohse, Clemens Michalke, Marcus Bronzel and Gerhard Fettweis Dresden University of Technology Mannesmann Mobilfunk Chair for Mobile Communications Systems

More information

Lecture 8: Discrete-Time Signals and Systems Dr.-Ing. Sudchai Boonto

Lecture 8: Discrete-Time Signals and Systems Dr.-Ing. Sudchai Boonto Dr-Ing Sudchai Boonto Department of Control System and Instrumentation Engineering King Mongut s Unniversity of Technology Thonburi Thailand Outline Introduction Some Useful Discrete-Time Signal Models

More information

UNIT 1. SIGNALS AND SYSTEM

UNIT 1. SIGNALS AND SYSTEM Page no: 1 UNIT 1. SIGNALS AND SYSTEM INTRODUCTION A SIGNAL is defined as any physical quantity that changes with time, distance, speed, position, pressure, temperature or some other quantity. A SIGNAL

More information

Sensitivity of hybrid filter banks A/D converters to analog realization errors and finite word length

Sensitivity of hybrid filter banks A/D converters to analog realization errors and finite word length Sensitivity of hybrid filter banks A/D converters to analog realization errors and finite word length Tudor Petrescu, Jacques Oksman To cite this version: Tudor Petrescu, Jacques Oksman. Sensitivity of

More information

FRACTIONAL ORDER FILTER BASED ON FRACTIONAL CAPACITORS AND FRACTIONAL INDUCTOR

FRACTIONAL ORDER FILTER BASED ON FRACTIONAL CAPACITORS AND FRACTIONAL INDUCTOR FRACTIONAL ORDER FILTER BASED ON FRACTIONAL CAPACITORS AND FRACTIONAL INDUCTOR MadhabChandraTripathy Assistant Professor College of Engineering and Technology Techno Campus, Ghatikia Bhubaneswar-751029

More information

COMPARISON OF CLASSICAL CIC AND A NEW CLASS OF STOPBAND-IMPROVED CIC FILTERS FORMED BY CASCADING NON-IDENTICAL COMB SECTIONS

COMPARISON OF CLASSICAL CIC AND A NEW CLASS OF STOPBAND-IMPROVED CIC FILTERS FORMED BY CASCADING NON-IDENTICAL COMB SECTIONS FACTA UIVERSITATIS Series: Electronics and Energetics Vol. 29, o 1, March 216, pp. 61-76 DOI: 1.2298/FUEE16161M COMPARISO OF CLASSICAL CIC AD A EW CLASS OF STOPBAD-IMPROVED CIC FILTERS FORMED BY CASCADIG

More information

DIGITAL SIGNAL PROCESSING UNIT III INFINITE IMPULSE RESPONSE DIGITAL FILTERS. 3.6 Design of Digital Filter using Digital to Digital

DIGITAL SIGNAL PROCESSING UNIT III INFINITE IMPULSE RESPONSE DIGITAL FILTERS. 3.6 Design of Digital Filter using Digital to Digital DIGITAL SIGNAL PROCESSING UNIT III INFINITE IMPULSE RESPONSE DIGITAL FILTERS Contents: 3.1 Introduction IIR Filters 3.2 Transformation Function Derivation 3.3 Review of Analog IIR Filters 3.3.1 Butterworth

More information

Z - Transform. It offers the techniques for digital filter design and frequency analysis of digital signals.

Z - Transform. It offers the techniques for digital filter design and frequency analysis of digital signals. Z - Transform The z-transform is a very important tool in describing and analyzing digital systems. It offers the techniques for digital filter design and frequency analysis of digital signals. Definition

More information

Analysis and Synthesis of Weighted-Sum Functions

Analysis and Synthesis of Weighted-Sum Functions Analysis and Synthesis of Weighted-Sum Functions Tsutomu Sasao Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan April 28, 2005 Abstract A weighted-sum

More information

FIR BAND-PASS DIGITAL DIFFERENTIATORS WITH FLAT PASSBAND AND EQUIRIPPLE STOPBAND CHARACTERISTICS. T. Yoshida, Y. Sugiura, N.

FIR BAND-PASS DIGITAL DIFFERENTIATORS WITH FLAT PASSBAND AND EQUIRIPPLE STOPBAND CHARACTERISTICS. T. Yoshida, Y. Sugiura, N. FIR BAND-PASS DIGITAL DIFFERENTIATORS WITH FLAT PASSBAND AND EQUIRIPPLE STOPBAND CHARACTERISTICS T. Yoshida, Y. Sugiura, N. Aikawa Tokyo University of Science Faculty of Industrial Science and Technology

More information

A video College Algebra course & 6 Enrichment videos

A video College Algebra course & 6 Enrichment videos A video College Algebra course & 6 Enrichment videos Recorded at the University of Missouri Kansas City in 1998. All times are approximate. About 43 hours total. Available on YouTube at http://www.youtube.com/user/umkc

More information

New Algorithms for Removal of DC Offset and Subsynchronous. Resonance terms in the Current and Voltage Signals under Fault.

New Algorithms for Removal of DC Offset and Subsynchronous. Resonance terms in the Current and Voltage Signals under Fault. ew Algorithms for Removal of DC Offset and Subsynchronous Resonance terms in the Current and Voltage Signals under Fault Conditions KAALESH KUAR SHARA Department of Electronics & Communication Engineering

More information

Design of Biorthogonal FIR Linear Phase Filter Banks with Structurally Perfect Reconstruction

Design of Biorthogonal FIR Linear Phase Filter Banks with Structurally Perfect Reconstruction Electronics and Communications in Japan, Part 3, Vol. 82, No. 1, 1999 Translated from Denshi Joho Tsushin Gakkai Ronbunshi, Vol. J81-A, No. 1, January 1998, pp. 17 23 Design of Biorthogonal FIR Linear

More information

Lapped Unimodular Transform and Its Factorization

Lapped Unimodular Transform and Its Factorization IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL 50, NO 11, NOVEMBER 2002 2695 Lapped Unimodular Transform and Its Factorization See-May Phoong, Member, IEEE, and Yuan-Pei Lin, Member, IEEE Abstract Two types

More information

IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 2, FEBRUARY IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 2, FEBRUARY 1999 389 Oversampling PCM Techniques and Optimum Noise Shapers for Quantizing a Class of Nonbandlimited Signals Jamal Tuqan, Member, IEEE

More information

Fault Tolerance Technique in Huffman Coding applies to Baseline JPEG

Fault Tolerance Technique in Huffman Coding applies to Baseline JPEG Fault Tolerance Technique in Huffman Coding applies to Baseline JPEG Cung Nguyen and Robert G. Redinbo Department of Electrical and Computer Engineering University of California, Davis, CA email: cunguyen,

More information

PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes

PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes 359 PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes Ching-Lung CHR a),szu-linsu, Members, and Shao-Wei WU, Nonmember SUMMARY A low-complexity step-by-step decoding algorithm

More information

Polynomial Interpolators for High-Quality Resampling of Oversampled Audio

Polynomial Interpolators for High-Quality Resampling of Oversampled Audio Polynomial Interpolators for High-Quality Resampling of Oversampled Audio by Olli Niemitalo in August 2. Distribute, host and use this paper freely. http://www.student.oulu.fi/~oniemita/dsp/index.htm ollinie@freenet.hut.fi

More information

Case Studies of Logical Computation on Stochastic Bit Streams

Case Studies of Logical Computation on Stochastic Bit Streams Case Studies of Logical Computation on Stochastic Bit Streams Peng Li 1, Weikang Qian 2, David J. Lilja 1, Kia Bazargan 1, and Marc D. Riedel 1 1 Electrical and Computer Engineering, University of Minnesota,

More information

NORWEGIAN UNIVERSITY OF SCIENCE AND TECHNOLOGY DEPARTMENT OF ELECTRONICS AND TELECOMMUNICATIONS

NORWEGIAN UNIVERSITY OF SCIENCE AND TECHNOLOGY DEPARTMENT OF ELECTRONICS AND TELECOMMUNICATIONS page 1 of 5 (+ appendix) NORWEGIAN UNIVERSITY OF SCIENCE AND TECHNOLOGY DEPARTMENT OF ELECTRONICS AND TELECOMMUNICATIONS Contact during examination: Name: Magne H. Johnsen Tel.: 73 59 26 78/930 25 534

More information

1 Introduction A one-dimensional burst error of length t is a set of errors that are conned to t consecutive locations [14]. In this paper, we general

1 Introduction A one-dimensional burst error of length t is a set of errors that are conned to t consecutive locations [14]. In this paper, we general Interleaving Schemes for Multidimensional Cluster Errors Mario Blaum IBM Research Division 650 Harry Road San Jose, CA 9510, USA blaum@almaden.ibm.com Jehoshua Bruck California Institute of Technology

More information

Correlator I. Basics. Chapter Introduction. 8.2 Digitization Sampling. D. Anish Roshi

Correlator I. Basics. Chapter Introduction. 8.2 Digitization Sampling. D. Anish Roshi Chapter 8 Correlator I. Basics D. Anish Roshi 8.1 Introduction A radio interferometer measures the mutual coherence function of the electric field due to a given source brightness distribution in the sky.

More information

! Introduction. ! Discrete Time Signals & Systems. ! Z-Transform. ! Inverse Z-Transform. ! Sampling of Continuous Time Signals

! Introduction. ! Discrete Time Signals & Systems. ! Z-Transform. ! Inverse Z-Transform. ! Sampling of Continuous Time Signals ESE 531: Digital Signal Processing Lec 25: April 24, 2018 Review Course Content! Introduction! Discrete Time Signals & Systems! Discrete Time Fourier Transform! Z-Transform! Inverse Z-Transform! Sampling

More information

hapter 8 Simulation/Realization 8 Introduction Given an nth-order state-space description of the form x_ (t) = f (x(t) u(t) t) (state evolution equati

hapter 8 Simulation/Realization 8 Introduction Given an nth-order state-space description of the form x_ (t) = f (x(t) u(t) t) (state evolution equati Lectures on Dynamic Systems and ontrol Mohammed Dahleh Munther Dahleh George Verghese Department of Electrical Engineering and omputer Science Massachuasetts Institute of Technology c hapter 8 Simulation/Realization

More information

DIGITAL COMPENSATION OF IN-BAND IMAGE SIGNALS CAUSED BY M-PERIODIC NONUNIFORM ZERO-ORDER HOLD SIGNALS

DIGITAL COMPENSATION OF IN-BAND IMAGE SIGNALS CAUSED BY M-PERIODIC NONUNIFORM ZERO-ORDER HOLD SIGNALS DIGITAL COPENSATION OF IN-BAND IAGE SIGNALS CAUSED BY -PERIODIC NONUNIFOR ZERO-ORDER HOLD SIGNALS Christian Vogel 1, Christoph Krall 2 1 ETH Zurich, Switzerland Signal and Information Processing Laboratory

More information

A Novel Fast Computing Method for Framelet Coefficients

A Novel Fast Computing Method for Framelet Coefficients American Journal of Applied Sciences 5 (11): 15-157, 008 ISSN 1546-939 008 Science Publications A Novel Fast Computing Method for Framelet Coefficients Hadeel N. Al-Taai Department of Electrical and Electronic

More information

Review: Continuous Fourier Transform

Review: Continuous Fourier Transform Review: Continuous Fourier Transform Review: convolution x t h t = x τ h(t τ)dτ Convolution in time domain Derivation Convolution Property Interchange the order of integrals Let Convolution Property By

More information

Interchange of Filtering and Downsampling/Upsampling

Interchange of Filtering and Downsampling/Upsampling Interchange of Filtering and Downsampling/Upsampling Downsampling and upsampling are linear systems, but not LTI systems. They cannot be implemented by difference equations, and so we cannot apply z-transform

More information

Time. To do. q Physical clocks q Logical clocks

Time. To do. q Physical clocks q Logical clocks Time To do q Physical clocks q Logical clocks Events, process states and clocks A distributed system A collection P of N single-threaded processes (p i, i = 1,, N) without shared memory The processes in

More information

Design of Fractional Delay Filter Using Hermite Interpolation Method

Design of Fractional Delay Filter Using Hermite Interpolation Method 1458 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 7, JULY 2012 Design of Fractional Delay Filter Using Hermite Interpolation Method Chien-Cheng Tseng, Senior Member, IEEE,

More information

Filters. Massimiliano Laddomada and Marina Mondin. Abstract

Filters. Massimiliano Laddomada and Marina Mondin. Abstract Decimation Schemes for Σ A/D Converters 1 based on Kaiser and Hamming Sharpened Filters Massimiliano Laddomada and Marina Mondin Abstract Cascaded-Integrator-Comb (CIC) filters are efficient anti-aliasing

More information

Filter-Generating Systems

Filter-Generating Systems 24 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH 2000 Filter-Generating Systems Saed Samadi, Akinori Nishihara, and Hiroshi Iwakura Abstract

More information

Digital Wideband Integrators with Matching Phase and Arbitrarily Accurate Magnitude Response (Extended Version)

Digital Wideband Integrators with Matching Phase and Arbitrarily Accurate Magnitude Response (Extended Version) Digital Wideband Integrators with Matching Phase and Arbitrarily Accurate Magnitude Response (Extended Version) Ça gatay Candan Department of Electrical Engineering, METU, Ankara, Turkey ccandan@metu.edu.tr

More information

Implementation of Discrete-Time Systems

Implementation of Discrete-Time Systems EEE443 Digital Signal Processing Implementation of Discrete-Time Systems Dr. Shahrel A. Suandi PPKEE, Engineering Campus, USM Introduction A linear-time invariant system (LTI) is described by linear constant

More information

MANY papers and books are devoted to modeling fading

MANY papers and books are devoted to modeling fading IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 16, NO. 9, DECEMBER 1998 1809 Hidden Markov Modeling of Flat Fading Channels William Turin, Senior Member, IEEE, Robert van Nobelen Abstract Hidden

More information

Filter structures ELEC-E5410

Filter structures ELEC-E5410 Filter structures ELEC-E5410 Contents FIR filter basics Ideal impulse responses Polyphase decomposition Fractional delay by polyphase structure Nyquist filters Half-band filters Gibbs phenomenon Discrete-time

More information

a( i), where N i N ) is accurately d( i), where N i N. The derivative at ( ) ( ) ( )

a( i), where N i N ) is accurately d( i), where N i N. The derivative at ( ) ( ) ( ) More on implementing the derivative filter John C. Bancroft ABSTRACT More on implementing the derivative filter An update is presented on finding faster and or more accurate implementations of differentiator

More information

A SIMULATION AND GRAPH THEORETICAL ANALYSIS OF CERTAIN PROPERTIES OF SPECTRAL NULL CODEBOOKS

A SIMULATION AND GRAPH THEORETICAL ANALYSIS OF CERTAIN PROPERTIES OF SPECTRAL NULL CODEBOOKS 6 SOUTH AFRICAN INSTITUTE OF ELECTRICAL ENGINEERS Vol.() September A SIMULATION AND GRAPH THEORETICAL ANALYSIS OF CERTAIN PROPERTIES OF SPECTRAL NULL CODEBOOKS K. Ouahada and H. C. Ferreira Department

More information

On the SIR s ( Signal -to- Interference -Ratio) in. Discrete-Time Autonomous Linear Networks

On the SIR s ( Signal -to- Interference -Ratio) in. Discrete-Time Autonomous Linear Networks On the SIR s ( Signal -to- Interference -Ratio) in arxiv:93.9v [physics.data-an] 9 Mar 9 Discrete-Time Autonomous Linear Networks Zekeriya Uykan Abstract In this letter, we improve the results in [5] by

More information

Time. Today. l Physical clocks l Logical clocks

Time. Today. l Physical clocks l Logical clocks Time Today l Physical clocks l Logical clocks Events, process states and clocks " A distributed system a collection P of N singlethreaded processes without shared memory Each process p i has a state s

More information

Theory and Problems of Signals and Systems

Theory and Problems of Signals and Systems SCHAUM'S OUTLINES OF Theory and Problems of Signals and Systems HWEI P. HSU is Professor of Electrical Engineering at Fairleigh Dickinson University. He received his B.S. from National Taiwan University

More information

ECE538 Final Exam Fall 2017 Digital Signal Processing I 14 December Cover Sheet

ECE538 Final Exam Fall 2017 Digital Signal Processing I 14 December Cover Sheet ECE58 Final Exam Fall 7 Digital Signal Processing I December 7 Cover Sheet Test Duration: hours. Open Book but Closed Notes. Three double-sided 8.5 x crib sheets allowed This test contains five problems.

More information

Research on Consistency Problem of Network Multi-agent Car System with State Predictor

Research on Consistency Problem of Network Multi-agent Car System with State Predictor International Core Journal of Engineering Vol. No.9 06 ISSN: 44-895 Research on Consistency Problem of Network Multi-agent Car System with State Predictor Yue Duan a, Linli Zhou b and Yue Wu c Institute

More information

Dithering for Floating-Point Number Representation

Dithering for Floating-Point Number Representation 1st International On-Line Workshop on Dithering in Measurement, http://measure.feld.cvut.cz/dithering98, March 1-31, 1998. 9-1 Dithering for Floating-Point Number Representation Rezső Dunay, István Kollár,

More information

(Refer Slide Time: )

(Refer Slide Time: ) Digital Signal Processing Prof. S. C. Dutta Roy Department of Electrical Engineering Indian Institute of Technology, Delhi FIR Lattice Synthesis Lecture - 32 This is the 32nd lecture and our topic for

More information

Maximally Flat Lowpass Digital Differentiators

Maximally Flat Lowpass Digital Differentiators Maximally Flat Lowpass Digital Differentiators Ivan W. Selesnick August 3, 00 Electrical Engineering, Polytechnic University 6 Metrotech Center, Brooklyn, NY 0 selesi@taco.poly.edu tel: 78 60-36 fax: 78

More information

A NOVEL APPROACH FOR HIGH SPEED CONVOLUTION OF FINITE AND INFINITE LENGTH SEQUENCES USING VEDIC MATHEMATICS

A NOVEL APPROACH FOR HIGH SPEED CONVOLUTION OF FINITE AND INFINITE LENGTH SEQUENCES USING VEDIC MATHEMATICS A NOVEL APPROACH FOR HIGH SPEED CONVOLUTION OF FINITE AND INFINITE LENGTH SEQUENCES USING VEDIC MATHEMATICS M. Bharathi 1, D. Leela Rani 2 1 Assistant Professor, 2 Associate Professor, Department of ECE,

More information

Phase Factor Influence on Amplitude Distortion and Aliasing of Pseudo-QMF Banks

Phase Factor Influence on Amplitude Distortion and Aliasing of Pseudo-QMF Banks Phase Factor Influence on Amplitude Distortion and Aliasing of Pseudo-QF Bans F. Cruz-Roldán; F. López-Ferreras; P. artin-artin;. Blanco-Velasco. Dep. de Teoría de la Señal y Comunicaciones, Universidad

More information

Hybrid Time-Frequency Domain Adaptive Filtering Algorithm for control of the vibration control systems

Hybrid Time-Frequency Domain Adaptive Filtering Algorithm for control of the vibration control systems Hybrid Time-Frequency Domain Adaptive Filtering Algorithm for control of the vibration control systems Martino O. Ajangnay Member, IEE, student member, IEEE Matthew W. Dunnigan Member, IEE Barry W. William

More information

Chapter 11 Time and Global States

Chapter 11 Time and Global States CSD511 Distributed Systems 分散式系統 Chapter 11 Time and Global States 吳俊興 國立高雄大學資訊工程學系 Chapter 11 Time and Global States 11.1 Introduction 11.2 Clocks, events and process states 11.3 Synchronizing physical

More information

Chapter 13 Digital Control

Chapter 13 Digital Control Chapter 13 Digital Control Chapter 12 was concerned with building models for systems acting under digital control. We next turn to the question of control itself. Topics to be covered include: why one

More information

EECS 16B: FALL 2015 FINAL

EECS 16B: FALL 2015 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Sciences E. Alon, B. Ayazifar, C. Tomlin Wed., Dec. 16, 2015 G. Ranade 8:00-11:00am EECS 16B: FALL 2015

More information

Calibration Routine. Store in HDD. Switch "Program Control" Ref 1/ Ref 2 Manual Automatic

Calibration Routine. Store in HDD. Switch Program Control Ref 1/ Ref 2 Manual Automatic 4.2 IMPLEMENTATION LABVIEW 4.2.1 LabVIEW features LabVIEW (short for Laboratory Virtual Instrument Engineering Workbench) originally released for the Apple Macintosh in 1986. It is a highly productive

More information

A Nonlinear Dynamic S/H-ADC Device Model Based on a Modified Volterra Series: Identification Procedure and Commercial CAD Tool Implementation

A Nonlinear Dynamic S/H-ADC Device Model Based on a Modified Volterra Series: Identification Procedure and Commercial CAD Tool Implementation IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 4, AUGUST 2003 1129 A Nonlinear Dynamic S/H-ADC Device Model Based on a Modified Volterra Series: Identification Procedure and Commercial

More information

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors Semi-Conductors In the metal materials considered earlier, the coupling of the atoms together to form the material decouples an electron from each atom setting it free to roam around inside the material.

More information

Polynomial Interpolation in the Elliptic Curve Cryptosystem

Polynomial Interpolation in the Elliptic Curve Cryptosystem Journal of Mathematics and Statistics 7 (4): 326-331, 2011 ISSN 1549-3644 2011 Science Publications Polynomial Interpolation in the Elliptic Curve Cryptosystem Liew Khang Jie and Hailiza Kamarulhaili School

More information

Two-Dimensional Orthogonal Filter Banks with Directional Vanishing Moments

Two-Dimensional Orthogonal Filter Banks with Directional Vanishing Moments Two-imensional Orthogonal Filter Banks with irectional Vanishing Moments Jianping Zhou and Minh N. o epartment of Electrical and Computer Engineering University of Illinois at Urbana-Champaign, Urbana,

More information

Computing running DCTs and DSTs based on their second-order shift properties

Computing running DCTs and DSTs based on their second-order shift properties University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering Information Sciences 000 Computing running DCTs DSTs based on their second-order shift properties

More information

Twisted Filter Banks

Twisted Filter Banks Twisted Filter Banks Andreas Klappenecker Texas A&M University, Department of Computer Science College Station, TX 77843-3112, USA klappi@cs.tamu.edu Telephone: ++1 979 458 0608 September 7, 2004 Abstract

More information