ETCHING Chapter 10. Mask. Photoresist

Similar documents
Etching: Basic Terminology

Section 3: Etching. Jaeger Chapter 2 Reader

Reactive Ion Etching (RIE)

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

LECTURE 5 SUMMARY OF KEY IDEAS

Device Fabrication: Etch

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

CHAPTER 6: Etching. Chapter 6 1

Chapter 7 Plasma Basic

Chapter 7. Plasma Basics

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Plasma etching. Bibliography

Wet and Dry Etching. Theory

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Plasma Deposition (Overview) Lecture 1

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Etching Capabilities at Harvard CNS. March 2008

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Regents of the University of California

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Introduction to Plasma Etching

Introduction to Plasma

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

EE C245 ME C218 Introduction to MEMS Design Fall 2010

CVD: General considerations.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

EE C245 ME C218 Introduction to MEMS Design Fall 2007

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Patterning Challenges and Opportunities: Etch and Film

FRAUNHOFER IISB STRUCTURE SIMULATION

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

EE C245 ME C218 Introduction to MEMS Design

DOE WEB SEMINAR,

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Chapter 6. Summary and Conclusions

Repetition: Practical Aspects

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

EE-612: Lecture 22: CMOS Process Steps

MICROCHIP MANUFACTURING by S. Wolf

Plasma atomic layer etching using conventional plasma equipment

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Lithography and Etching

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Taurus-Topography. Topography Modeling for IC Technology

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

DQN Positive Photoresist

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

FINAL REPORT. DOE Grant DE-FG03-87ER13727

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Introduction to Photolithography

Plasma Technology September 15, 2005 A UC Discovery Project

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

Transcription:

ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first order issues. Selectivity comes from chemistry; directionality usually comes from physical processes. Modern etching techniques try to optimize both. Simulation tools are beginning to play an important role in etching just as they are in deposition. Topography simulators often do both, based on the same physical principles. 1

a) Illustration of undercutting (directionality) and selectivity issues. b) More directional etching a) isotropic b) anisotropic c) completely anisotropic Usually highly anisotropic (almost vertical profiles) and highly selective etching (ratios of 25-50) are desired, but these can be difficult to achieve simultaneously. General etch requirements: 1. Obtain desired profile (sloped or vertical) 2. Minimal undercutting or bias 3. Selectivity to other exposed films and resist 4. Uniform and reproducible 5. Minimal damage to surface and circuit 6. Clean, economical, and safe 2

Historical Development and Basic Concepts There are two main types of etching used in IC fabrication: wet etching and dry or plasma etching. Plasma etching dominates today. Wet Etching and General Etching Ideas Processes tend to be highly selective but isotropic (except for crystallographically dependent etches). Examples: Etching of SiO 2 by aqueous HF: SiO 2 + 6HF H 2 SiF 6 + 2H 2 O (1) Etching of Si by nitric acid Si + HNO 3 + 6HF H 2 SiF 6 + HNO 2 + H 2 O + H 2 (2) (HNO 3 ) and HF: Wafers typically submerged in specific chemical baths and rinsed in DI H 2 O. 3

b b a) b) d d = x f Isotropic etching implies undercutting. This is often expressed in terms of the etch bias b. Etch anisotropy is defined as: A f = 1 r lat = 1 b (3) r ver d A f = 0 for isotropic etching since r lat = r ver. Some overetching, shown above at right, is usually done to ensure complete etching (due to variations in film thickness and etch rate). Selectivity is usually excellent in wet etching ( S = r 1 / r 2 ) since chemical reactions are very selective. Δm a) b) Δm 4 Mask erosion can be an issue for both isotropic and anisotropic etching profiles. Because of their isotropic nature, wet chemical etches are rarely used in mainstream IC manufacturing today.

Matching network RF generator RF power input Plasma Plasma Etching Electrode Plasma sheaths Electrode Developed and used for: 1. Faster and simpler etching in a few cases. 2. More directional (anisotropic) etching!! Gas inlet ( Ar, CF 4, O 2 ) Electrode (target) Ground Equal area electrodes Gas outlet, pump Electrode Typical RF-powered plasma etch system look just like PECVD or sputtering systems. Both chemical (highly reactive) species and ionic (very directional) species typically play a role. Voltage + 0 - Distance V 1 V 2 Unequal area electrodes (smaller electrode at left) Vp 0 V P is positive to equalize electron and ion fluxes. Smaller electrode has higher fields to maintain current continuity (higher RF current density). 5

Dissociation: CF 4 + e - CF 3 + F + e - Dissociative ionization: CF 4 + e - CF 3 + + F + 2e - Ionization: CF 3 + e - CF 3 + + 2e - Excitation: CF 4 + e - CF 4 * + e - Recombination: CF 3 + + F + e - CF 4 F + F F 2 Etching gases include halide-containing species such as CF 4, SiF 6, Cl 2, and HBr, plus additives such as O 2, H 2 and Ar. O 2 by itself is used to etch photoresist. Pressure = 1 mtorr to 1 torr. Typical reactions and species present in a plasma used are shown above. Typically there are about 10 15 cm -3 neutral species (1 to 10% of which may be free radicals) and 10 8-10 12 cm -3 ions and electrons. In standard plasma systems, the plasma density is closely coupled to the ion energy (as determined by the sheath voltage). Increasing the power increases both. 6

Plasma Etching Mechanisms There are three principal chemical etching (isotropic, selective) mechanisms: physical etching (anisotropic, less selective) ion-enhanced etching (anisotropic, selective) Etchant (free radical) creation e- + Etchant transfer Mask Etchant adsorption Mask Etchant/film reaction Byproduct removal Reactive neutral species Chemical Etching Etching done by reactive neutral species, such as free radicals (e.g. F, CF 3 ) e + CF 4 CF 3 + F + e 7 4F + Si SiF 4 (4) (5) Additives like O 2 can be used which react with CF 3 and reduce CF 3 + F recombination. higher etch rate. These processes are purely chemical and are therefore isotropic and selective, like wet etching. Generally characterized by cos n θ (n=1) arrival angle and low sticking coefficient (S c 0.01).

Physical Etching Silicon etch rate (nm min -1 ) 7 6 5 4 3 2 1 + Ionic species Mask XeF 2 Gas Only + + + + + Ar + Ion Beam + XeF 2 Gas 0 100 200 300 400 500 600 700 800 900 Time (sec) Ion etching is much more directional ( field across plasma sheath) and S c 1, i.e. ions don't bounce around (or if they do, they lose their energy.) Etching species are ions like CF + 3 or Ar + which remove material by sputtering. Not very selective since all materials sputter at about the same rate. Physical sputtering can cause damage to surface, with extent and amount of damage a direct function of ion energy (not ion density). Ion Enhanced Etching Ar + Ion Beam Only The chemical and physical components of plasma etching do not always act independently - both in terms of net etch rate and in resulting etch profile. Figure shows etch rate of silicon as XeF 2 gas (not plasma) and Ar + ions are introduced to the silicon surface. Only when both are present does appreciable etching occur. Etch profiles can be very anisotopic, and selectivity can be good. 8

Reactive neutral species Ionic species + + + Reactive neutral species Ionic species + + + a) b) Mask PR Mask Inhibitor Chemical etch enhanced by ion bombardment Inhibitor removed by ion bombardment Many different mechanisms proposed for this synergistic etching between physical and chemical components. Two mechanisms are shown above. Ion bombardment can enhance etch process (such as by damaging the surface to increase reaction, or by removing etch byproducts), or can remove inhibitor that is an indirect byproduct of etch process (such as polymer formation from carbon in gas or from photoresist). Whatever the exact mechanism (multiple mechanisms may occur at same time): need both components for etching to occur. get anisotropic etching and little undercutting because of directed ion flux. get selectivity due to chemical component and chemical reactions. many applications in etching today. 9

Mask Mask Inhibitor deposition or formation Etch Can actually get sloped sidewalls without undercutting. Depends on ratio of inhibitor formation ( deposition ) to etching, as shown. Inhibitor deposition or formation Etch...... Final profile a. Inhibitor deposition rate fast compared to etch rate b. Inhibitor deposition rate relatively slow compared to etch rate 10

Types of Plasma Etching Systems Different configurations have been developed to make use of chemical, physical or ion assisted etching mechanisms. Barrel Etchers Quartz tube Wafers Gas inlet Electrode Plasma Shield Gas inlet Plasma To pump Wafers RF End view Side view Purely chemical etching. Used for non-critical steps, such as photoresist removal (ashing). 11

Matching network Parallel Plate Systems - Plasma Mode RF power input RF generator Plasma Electrode Plasma sheaths Electrode Gas inlet ( Ar, CF 4, O 2 ) Ground Gas outlet, pump Voltage Electrode (target) + 0 - Equal area electrodes Distance V 1 V 2 Unequal area electrodes (smaller electrode at left) Electrode Vp 0 Electrodes have equal areas (or wafer electrode is grounded with chamber and larger) Only moderate sheath voltage (10-100 ev), so only moderate ionic component. Strong chemical component. Etching can be fairly isotropic and selective. 12

Parallel Plate Systems - Reactive Ion Etching (RIE) Mode 13 For more directed etching, need stronger ion bombardment. Wafers sit on smaller electrode (RF power there). Higher voltage drop across sheath at wafers. (100-700 ev). Lower pressures are used to attain even more directional etching (10-100 mtorr). More physical component than plasma mode directionality but less selectivity. magnetic coil gas inlet Microwave supply (2.45 GHz) plasma RF High Density Plasma (HDP) Etch Systems wafer gas outlet, pump bias supply (13.56 MHz) Uses remote, non-capacitively coupled plasma source (Electron cyclotron resonance - ECR, or inductively coupled plasma source - ICP). Uses separate RF source as wafer bias. This separates the plasma power (density), from the wafer bias (ion accelerating field). Very high density plasmas (10 11-10 12 ion cm -3 ) can be achieved (faster etching). Lower pressures (1-10 mtorr range) can be utilized due to higher ionization efficiency ( longer mean free path and more anisotropic etching). These systems produce high etch rates, decent selectivity, and good directionality, while keeping ion energy and damage low. widely used.

+ + + + a) b) c) Mask Mask Sputter Etching Purely physical etching: Highly directional, with poor selectivity Can etch almost anything - - - - - - - - Sputter etching, uses Ar +. Mask Damage to wafer surface and devices can occur: trenching (a), ion bombardment damage, radiation damage, redeposition of photoresist (b) and charging (c). These can occur in any etch system Summary where the physical component is strong. Sputter Etching and Ion Beam Milling Physical Processes Pressure Energy Selectivity Anisotropy High Density Plasma Etching Reactive Ion Etching Plasma Etching Wet Chemical Etching Chemical Processes 14

Ionic species + Reactive neutral species free radicals important Mask Charging + + + + + + Mask Erosion Undercutting Chemical etching Isotropic, very selective. Trenching Sidewall-inhibitor Deposition Sources: etch byproducts, mask erosion, inlet gases. Removed on horizontal surfaces by ion bombardment. A possible mechanism in ion enhanced etching. Physical etching Anisotropic, non-selective. Ion Enhanced Etching Needs both ions and reactive neutrals. May be due to enhanced etch reaction or removal of etch byproduct or inhibitor. Anisotropic, selective. 15

Mask Ionic species + Resputtered flux + Gas phase Models and Simulation Reactive neutral ("chemical") species Emitted or desorbed flux Surface of wafer Deposited species Redeposited or readsorbed flux Arrival angle distribution at a plane just above surface 16 There is a great deal of similarity between the deposition models described in Chapter 9 and etching models. Both use incoming "chemical" (neutral) and ion fluxes and many other similar physical processes. As in deposition, the etch rate is proportional to the net flux arriving at each point. Chemical etching species are assumed to arrive isotropically (n = 1 in cos n θ ). Ionic species are assumed to arrive anisotropically (vertically) (n 10-80 in cos n θ ). The "sticking coefficient" concept is used as in the deposition case. Ionic species usually "stick" (S c = 1), while reactive neutral species have low S c values (bounce around). Sputtering yield has same angle dependence used in the deposition case.

Linear Etch Model While machine specific models have been developed, we will consider here general purpose etch models which can be broadly applied. Linear etch model assumes chemical and physical components act independently of each other (or appear to act independently for a range of conditions). ( Etch rate = S c K f F c + K i F i ) (7) N F c and F i are the chemical flux and ionic flux respectively, which will have different incoming angular distributions and vary from point to point. K i and K f are relative rate constants for two components. Physical component (2 nd term) can be purely physical sputtering, or can be ion-enhanced mechanism in regime where chemical flux not limiting ion etching. 1.0 1.0 1.0 microns 0.5 0.0-0.5 microns 0.5 0.0-0.5 microns 0.5 0.0-0.5 a). all chemical etching (ion flux=0); b). all physical or ionic etching (chem flux=0); c). half chemical, half physical. -1.0-0.5 0.0 0.5 1.0 microns -1.0-0.5 0.0 0.5 1.0 microns -1.0-0.5 0.0 0.5 microns 17 1.0

Saturation - Adsorption Etch Model Used for ion-enhanced etching, when chemical (neutral) and physical (ion) etch components are coupled. Examples - the ion flux is needed to remove a byproduct layer formed by the chemical etching, or ion bombardment damage induces chemical etching. Etch Rate = 1 N 1 1 + 1 K i F i S c F c (8) Etch rate 1 0.8 0.6 0.4 0.2 Chem. flux S c = 1 = 0.5 = 0 0 0 0.5 1 1.5 2 2.5 3 3.5 4 Ion flux K i = 0.2 If either flux is zero, the overall etch rate is zero since both are required to etch the material. Etch rate saturates when one component gets too large relative to the other (limited by slower of two series processes). General approach with broad applicability. (But does not account for independently formed inhibitor layer mechanism, and does not model excess inhibitor formation.) 18

1.0 microns 0.5 0.0-0.5 SPEEDIE simulation (equal chemical and ion components): Note the anisotropic etching. Ion flux is required and it arrives with a vertical direction (n is large in cos n θ ). -1.0 (a) -0.5 0.0 0.5 microns 1.0 (b) 19 Avant! s TAURUS-TOPOGRAPHY simulation using their dry etch model with simultaneous polymer deposition. a). Etching SiO 2 (over Si, with a photoresist mask) after 0.9 minutes; b). after 1.8 minutes. This explicitly models inhibitor deposition and sputtering. One can see the sloped etch profile, without etch bias, due to the excess polymer deposition.

Summary of Key Ideas Etching of thin films is a key technology in modern IC manufacturing. Photoresist is generally used as a mask, but sometimes other thin films also act as masks. Selectivity and directionality (anisotropy) are the two most important issues. Usually good selectivity and vertical profiles (highly anisotropic) are desirable. Other related issues include mask erosion, etch bias (undercutting), etch uniformity, residue removal and damage to underlying structures. Dry etching is used almost exclusively today because of the control, flexibility, reproducibility and anisotropy that it provides. Reactive neutral species (e.g. free radicals) and ionic species play roles in etching. Generally neutral species produce isotropic etching and ionic species produce anisotropic etching. Physical mechanisms: Chemical etching involving the neutral species. Physical etching involving the ionic species. Ion-enhanced etching involving both species acting synergistically. Simulation tools are fairly advanced today and include models for chemical, physical and ion-enhanced etching processes. Incoming angular distributions of etching species and parameters like sticking coefficients are used to model etching (similar to deposition modeling). 20