EUV Lithography Towards Industrialization

Similar documents
EUV lithography industrialization for HVM

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUVL Readiness for High Volume Manufacturing

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Overview of EUV Lithography and EUV Optics Contamination

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Chromeless Phase Lithography (CPL)

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

EUV Lithography Status and Key Challenges for HVM Implementation

Progress on ASML s EUV Alpha Demo Tool

Status of EUV Sources for Mask Metrology

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUVL for HVM: Progress Update

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

ASML Approach to Euv Reticle Handling

Actinic review of EUV masks: First results from the AIMS EUV system integration

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Photolithography II ( Part 1 )

EUV-Technology with Discharge EUV-Lamp"

Resist material for negative tone development process

Current development status of Shin-Etsu EUV pellicle

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

EUV lithography and Source Technology

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Litho scenario solutions for FinFET SRAM 22nm node

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

EUREKA: A new Industry EUV Research Center at LBNL

Critical Dimension Uniformity using Reticle Inspection Tool

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Lecture 14 Advanced Photolithography

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Cost Implications of EUV Lithography Technology Decisions

Analysis of carbon contamination on EUV mask using CSM/ ICS

Cost of Ownership Considerations for Maskless Lithography

193 nm STEP AND SCAN LITHOGRAPHY

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

More on Stochastics and the Phenomenon of Line-Edge Roughness

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Interactions of 3D mask effects and NA in EUV lithography

RS 1700/1900/2300/2900 High Density Reticle Stockers

UV2Litho Usable Vacuum Ultra Violet Lithography

XBC300 Gen2. Fully-automated debonder and Cleaner

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

RS-C Flexible Reticle Stocker

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Optimization of laser-produced plasma light sources for EUV lithography

Impact of Pellicle on Overlay in Double Patterning Lithography

Micro- and Nano-Technology... for Optics

Customized EUV optics made by optix fab

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

IEUVI Mask Technical Working Group

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Resist-outgas testing and EUV optics contamination at NIST

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Fluxless Soldering in Activated Hydrogen Atmosphere

Present status and future of DC photoemission electron guns for high power, high brightness applications

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

E152 Standard Revision: EUV-pod Reticle Carrier

Graphene Pellicles. Richard van Rijn

Applications of ion beams in materials science

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

A short pulsed laser cleaning system for EUVL tool

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Recent progress in nanoparticle photoresist development for EUV lithography

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Sensors and Metrology. Outline

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

SEMATECH 157nm Technical Review

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Introduction. Photoresist : Type: Structure:

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Innovative partnership offers benefits for all

Soft X - Ray Optics: Fundamentals and Applications

Transcription:

EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014

Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

Slide 3 Agenda EUV benefit and status at customers Towards higher productivity Summary

Layers 20 nm (Immersion) 10 nm (Immersion) 7 nm (Immersion) 7nm (EUV) Multi-patterning complexity drives need for EUV EUV Lithography will stop the strong increase of litho steps/masks needed Slide 4 8xMasks 23xMasks 33xMasks 9xMasks Node # of litho steps 20 nm 8 (Immersion) 10 nm 23 (Immersion) 7 nm 33 (Immersion) 7 nm 9 (EUV) # OVL Metrology # max metrology / litho steps 9-11 2 36-40 3 59-65 4 12 3

EUV status: Demonstrated >500 wafers per day at customer sites Slide 5 More than 500 wafers exposed per day during endurance tests at 2 customer sites 7 NXE:3300B systems shipped to customers 4 more NXE:3300B systems being integrated 4 th generation NXE system (NXE:3350B) integration ongoing EUV cleanroom extension is under construction

Agenda Slide 6 EUV benefit and status at customers Towards higher productivity Summary

Wafers per day program: Progress in major areas Improvement of Conversion Efficiency demonstrated Slide 7 Conversion efficiency Automation Improved automation algorithms Reduced dose margin with advanced controls Doubled source power to ~80 Watts demonstrated Dose margin Drive laser power Laser to droplet control > 500 Wafers per day Collector lifetime Droplet generator reliability Drive laser reliability Improvement in collector lifetime Improved optical design Optical transmission Overhead optimization Stage accuracy at high speed Exposure dose Faster resist formulations demonstrated

EUV power (Watts) >40W rolled-out on customer NXE:3300B systems 80 Slide 8 70 60 50 40 30 20 10 0 Customer Customer Customer Customer Under clean collector conditions NXE:3300B customer systems

Accumulated Gpulse count Source power [Watt] Customer system continuous use at power level of >40W System pulse count Power of >40W since start use system Implying stable collector reflectivity Slide 9 System continuously used Stable power over 2 months period of time Courtesy of

Power (W) Dose margin (%) Continuous stable source operation at 80 W excellent die yield, ~25% dose margin Slide 10 80 60 40 20 0 24 hours continuous operation at 80W of EUV power with excellent simulated Die Yield 0 3 6 9 12 15 18 21 24 Time (hours) Die Yield = Simulated % of dies that meet the 1% dose requirement 50 40 30 20 10 0

Slide 11 An Intermezzo Towards an psi pellicle for EUV tools Source aspects

The mask defect challenge Challenging defect requirements on reflective EUV mask without pellicle Slide 12 DUV Reticles (193nm) EUV Reticles (13.5nm) Reflective multilayer Pellicle Reticle Reticle Absorber pattern Transmitted illumination Particle (mm size) Reflected illumination Particle (nm size)

All reticles and frames courtesy of Intel Scalability of free-standing psi films demonstrated and prototype psi pellicles successfully tested in EUV tools Half size prototype Full size prototype Slide 13 11mm x 11mm (~80% EUV transmission) 50mm x 50mm (~82% EUV transmission) 64mm x 106mm ~(86% EUV transmission) 103mm x 122mm (~85% EUV transmission) Open frames used for testing prototype psi pellicles in EUV tools

Imaging testing of ½ size psi pellicle collaborative effort of ASML and customers Slide 14 Wilton Frame mounted on reticle Taiwan Frame mounted on reticle ½ size psi film psi film + frame mounted on reticle

27 nm L/S features successfully exposed with half-size proto pellicle SEM image at BE/BF w/o pellicle SEM image at BE/BF w/ pellicle Slide 15 CD = 24.2 nm LWR = 4.0 nm 0.2 µm 0.2 µm CD = 24.0 nm LWR = 3.7 nm Joint effort of NXE end users and ASML accomplished imaging results of half size pellicle NXE:3100, NA=0.25, Conventional Illumination CDU difference of 0.18 nm Pellicle EUV transmission confirmed in imaging data: 85.5% (single pass) Scanner exposures courtesy of tsmc Reticles and frames courtesy of Intel

Slide 16 Source Aspects - Pre-pulse: Preparing Shape of Tin Target - Main Pulse: Tin heating to proper state of matter (highly charged ions) and high temperature (200 kk) for continued EUV emission - Pulse shaping in time and space for reduction of energy in particles and in ions and for increase of EUV radiation - Protecting collector optics by hydrogen flow combining stopping and dynamic cleaning

250W / 125 wafers/hour LPP Power Scaling Towards 250W EUV power with 4.5% CE CO 2 Drive Laser Conversion efficiency Automation Improved automation algorithms aslo for start-up Slide 17 Drive Laser Increase to more than 30 kwatt maintaining availability Dose margin Drive laser power Laser to droplet control Optical transmission > 500 Wafers per day Collector lifetime Droplet generator reliability Drive laser reliability Exposure dose In-situ collector cleaning towards a dynamic equilibrium Using hydrogen gas for stopping ions and cleaning collector Increasing reliability of the droplet generator Overhead optimization Stage accuracy at high speed

Agenda Slide 18 EUV benefit and status at customers Towards higher productivity Summary

Summary: EUV towards production insertion Two customers demonstrated 500 wafer per day capability in endurance tests. System performance well within target at >40W source power Slide 19 Half-size EUV pellicle prototype has been tested successfully >40W stable performance at customers, 80W performance shown at ASML and being transferred to customers EUV source: Continuous Improvements on conversion efficiency, dose margin, automation, collector lifetime, driving power. Increasing reliability and availability

Acknowledgements Slide 20 The work presented today, is the result of hard work and dedication of teams at ASML, Cymer, Zeiss, and many technology partners worldwide including our esteemed customers Special thanks to our partners and customers for allowing us to use some of their data in this presentation