How to work in the NL-cleanroom (1) Multi-application lab: Training, support and tools. By Christiaan Bruinink, Meint de Boer and Bert van den Akker

Similar documents
Pattern Transfer- photolithography

Lithography and Etching

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

SCME KIT OVERVIEW. Rainbow Wafer Kit

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Introduction to Photolithography

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Section 3: Etching. Jaeger Chapter 2 Reader

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

A Temporary Bonding and Debonding Technology for TSV Fabrication

Wet and Dry Etching. Theory

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

nmos IC Design Report Module: EEE 112

IC Fabrication Technology

Lecture 0: Introduction

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Use a pipet bulb when pipeting cyanide solutions, as these solutions are highly toxic.

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

XBC300 Gen2. Fully-automated debonder and Cleaner

k T m 8 B P m k T M T

Nanotechnologies as a key factor in the development of sustainable and cost-effective products. Carla Silva

Nanotechnology Fabrication Methods.

User Initials. Date. User Initials. Date

EXPERIMENT 12B: TITRATION OF AN UNKNOWN ACID INTRODUCTION

1

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M)

Simple Fabrication of a Superhydrophobic Surface

Wafer-scale fabrication of graphene

Top down and bottom up fabrication

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Si/GaAs heterostructures fabricated by direct wafer bonding

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Nanosphere Lithography

Substances and Mixtures:Separating a Mixture into Its Components

Thin Wafer Handling Challenges and Emerging Solutions

Carrier Transport by Diffusion

Passionately Innovating With Customers To Create A Connected World

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Nanofabrication Lab Process Development for High-k Dielectrics

Microfabrication for MEMS: Part I

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Etching: Basic Terminology

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

SHRINK. STACK. INTEGRATE.

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Hazard Communication Policy

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Competitive Advantages of Ontos7 Atmospheric Plasma

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

PFA HP Plus GBK Lining Sheet

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

ETCHING Chapter 10. Mask. Photoresist

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Advances in Back-side Via Etching of SiC for GaN Device Applications

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lab 1: Safety Lab; Introduction to Volumetric and Weighing Techniques

Model 2300XP PSL & Process-Particle Wafer Deposition System

Thin Wafer Handling Debonding Mechanisms

EV Group. Engineered Substrates for future compound semiconductor devices

C h a p t e r 5 : W o r k p l a c e H a z a r d o u s M a t e r i a l s I n f o r m a t i o n S y s t e m ( W H M I S )

Hybrid Wafer Level Bonding for 3D IC

True Room Temperature Bonding a novel process for the creation of health tech consumables ATB. ir. Richard Bijlard Technogation - Invenios

The Removal of Nanoparticles from Nanotrenches Using Megasonics

Basic Chemistry Laboratory Manual CHEM 101L Prof. Haber Prof. McPike Morrisville State College FALL 2017

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Micro/nano and precision manufacturing technologies and applications

RADIATION SAFETY GUIDELINES FOR NON-USERS

University of Minnesota Nano Center Standard Operating Procedure

20 YEARS OF ANALYTICAL EXCELLENCE. A Quantum Global Technologies, LLC company

Taurus-Topography. Topography Modeling for IC Technology

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

RCA cleaning: SC1, SC2, DHF, BOE, SPM

Hamatech Mask Mask processor User Manual

2.76/2.760 Multiscale Systems Design & Manufacturing

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Reactive Ion Etching (RIE)

Graphene The Search For Two Dimensions. Christopher Scott Friedline Arizona State University

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

AC : MEMS FABRICATION AS A MULTIDISCIPLINARY LABORATORY

Experiment#1 Beer s Law: Absorption Spectroscopy of Cobalt(II)

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

User Manual. Stick-Style Water-Resistant Infrared Thermometer with 8:1 Distance-to-Sight Ratio. Model with NIST-Traceable Calibration

Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS

Welsh Centre for Printing and Coating. College of Engineering

LECTURE 5 SUMMARY OF KEY IDEAS

Transcription:

How to work in the NL-cleanroom (1) Multi-application lab: Training, support and tools By Christiaan Bruinink, Meint de Boer and Bert van den Akker

Contents Multi-application cleanroom Objectives Path of a NL-cleanroom user Cleanroom training program Technology advice and support Tools: Equipment database Tools: Process flow database Tools: Process document and process flow

Multi-application cleanroom The NanoLab cleanroom facilitates research, pilot-production and education. Research: flexible processing, non-listed coatings and substrates, experimental equipment Pilot-production: reproducible processes and properties of materials Education: hands-on programs for bachelor- and master students

Platforms in the NanoLab cleanroom MEMS: actuators, micro membranes, cantilevers, microneedles, etc. Optics: waveguides, photonic devices, XUV mirrors, etc. Fluidics: flow sensors, lab-on-a-chip, etc. Electronics: quantum electronic devices, nanotransistors, etc. 3D-nanoshaping: nanowires, nanomembranes, etc.

Objectives of the cleanroom Flexibility for the development of state-of-the-art process micro- and nanotechnology and devices Reliability in terms of process output and properties of materials

Cleanroom jungle

Map of the cleanroom

Path of a NL-cleanroom user 1. Ideas on how to fabricate a device or set up an experiment 2. Write down a design description 3. Choose suitable materials and processes 4. Transfer your design into a mask layout 5. Compose a process outline 6. Compose a process flow 7. Fabricate your device or carry out your experiment

Our route to success Intake Training/Courses Technology advice Equipment database Process flow database Process document

Cleanroom training program

Tools - Equipment database The equipment database contains: General information of equipment User manuals Training information and name of administrator Only use the equipment database to find suitable workplace (equipment/wet bench) to perform your processing. Process information is found in the process flow database.

Search result on equipment database

General information on equipment

Tools - Process flow database The process flow database contains: Processes Procedures Our database is a result of various demands in our multi-application facility and allow users to perform reliable processing of devices as well as to carry out experimental research.

Search result of the process flow database

Basic flow basic recipes

Tools - Process document A process document is a working method to compile all the information needed for the fabrication of a device or experiment. A process flow is part of the process document, allowing the user to work succesfully and efficiently in the cleanroom.

How to work in the NL-cleanroom (1) (Multi-application lab: Process levels and contaminants) By Christiaan Bruinink and Meint de Boer

Contents Process levels in the cleanroom Importance of procedures Types of contaminants Process levels: cleaning procedures Process levels: removal of residues Selecting a cleaning or removal procedure

Process levels in cleanroom

Process levels in cleanroom

Importance of procedures Trace cleaning and residue removal procedures are essential in ensuring the quality of the processes in the 3 process levels in our NanoLab. Any deviation of our procedures can result in potential crosscontamination of equipment and/or substrates. Procedures consist of a sequence of process steps that must be followed by users in a correct order to perform reliable and reproducible processing.

Types of contaminants Four types of contaminants can have a negative effect on the process reliability and device performance in our cleanroom: Metal contaminants Organic contaminants Ionic contaminants Particle contaminants

Metal Contaminants Examples: gold, silver, copper, iron, chromium, cobalt, etc. Sources: stripping of metals, plasma processing, physical contact with metal surfaces (substrate holders, tweezers, masks). Negative effects: Shortage in semiconductor devices Scattering and absorption of light in optical devices Cross-contamination of equipment and substrates

Organic Contaminants Examples: residues of resists and organic solvents, dust, skin cells, etc. Sources: stripping in organic solvents, airborne molecules, dirty waferboxes, hotplates, substrate holders, tweezers, masks. Negative effects: Bad adhesion of films Carbonization of organic traces at high temperatures Cross-contamination of equipment and substrates

Ionic Contaminants Examples: wet-chemical etching of silicon in KOH, stripping of metals, insufficient rinsing of substrates in Quick Dump Rinsers (QDR), wet transport in dirty beaker. Sources: etchants, glass substrates, dirty beakers and dirty wafer carriers. Negative effects: Shortage in semiconductor devices Scattering of light in optical devices Cross-contamination of equipment and substrates

Particle Contaminants Examples: Si particles after dicing, encoding or cleaving of substrates, SiO 2 particles after chemical mechanical polishing (CMP). Sources: mechanical processing. Negative effects: Defects in resist coatings Bad wafer bonding (microvoids) Scattering in optical devices Cross-contamination of equipment and substrates

Residues and traces Residue: large quantity of organic or inorganic materials after processing of a substrate, e.g. ionic residues after KOH etching or metal etching. Trace: minute quantity of organic or inorganic materials on the surface of a substrate, e.g. organic traces on a new silicon wafer.

Residue removal and trace cleaning What is the difference between residue removal and trace cleaning? Residue removal is a post-processing step in order to continue processing in our cleanroom. Trace cleaning is a pre-processing step in order to start with a clean surface for processing your substrate.

Importance of rinsing and drying 1. Trace clean/residue removal: removal of traces/residues from the surface of a substrate 2. Rinsing: removal of chemical agent(s) from the surface - quick-dump rinsing - demi-water - resistivity > 10 MΩ cm - do not store the substrates in a beaker with demi-water 3. Drying: removal of surface water - semitool or single-wafer spinner - critical: avoid drying of substrate in air (traces or drying spots)

Process levels: trace cleaning

Trace cleaning agents Chemical agent Traces Process level Workplace Ozone Steam (O 3 /H 2 O) Organic Metal UCP WB12 99% HNO 3 69% HNO 3 at 95 o C Organic Metal MFP WB14 Piranha (H 2 SO 4 /H 2 O 2 ) Organic MFP WB18 99% HNO 3 Organic ILP WB06/16

Process levels: residue removal Note: residues are present in all process levels.

Agents for residues processing Chemical agent Contaminants Process examples Workplace RCA-1 (NH 4 OH/H 2 O 2 /H 2 O) Inorganic CMP (SiO 2 particles) WB09 RCA-2 (HCl/H 2 O 2 /H 2 O) Ionic Metal Wet-chemical etching KOH etching WB09 Ozone Steam (O 3 /H 2 O) Organic Ion implantation WB12 O 2 plasma Organic Lithography Plasma etching DRIE/RIE (fluorocarbon) TePla300 TePla300E TePla360

Agents for residues processing Chemical agent Contaminants Process examples Workplace Piranha (H 2 SO 4 /H 2 O 2 ) Organic Lithography Plasma etching WB09 Ultrasonication in demi-water Particle Cleaving and encoding Dicing Powder blasting WB06/11/16 99% HNO 3 Organic Lithography WB06/09/10/16 Organic solvents Organic Lithography WB11

How to work in the NL-cleanroom (1) (Multi-application lab: Intake procedure and lab policy) By Christiaan Bruinink and Meint de Boer

Procedures cleanroom Procedures for introducing new materials, chemicals and external samples into the cleanroom Lab policy Why should you care Take home message FAQ

Intake procedure It is mandatory for any new chemical/material or external sample to undergo a review to ensure that potential issues of safety, cross-contamination, restrictions of the workplaces and logistics are carefully taken into consideration. New chemical/material: any chemical or material (incl. new wafers) that is not supplied or ordered by our cleanroom External sample: any sample that is (temporarily) taken outside of our cleanroom for analysis or is processed at any external facility (lab, cleanroom, manufacturer, etc.)

Intake procedure Send an email to Christiaan Bruinink with the following information before ordering a chemical/material or processing external samples into the cleanroom. Chemical or material - Chemical name + supplier - MSDS or specification sheet - Risk classification - Process outline of activities in our cleanroom - Time of use - Amount External sample - Full description of sample (incl. coatings on the sample and process history) - Source (external facility) - Process outline of activities in our cleanroom Our goal is to handle each application within 5 working days.

Lab policy: trace cleaning Cleaning must be done < 4 hours* before loading your substrates into any equipment. You are responsible to store the substrates in a clean wafer box. *Note: within certain equipment it is possible to transfer substrates in a clean wafer box in < 4 hours without cleaning (see process flow database).

Lab policy: residue removal Re-use of RCA-1, RCA-2 and Piranha in wet bench 9 is forbidden! Refilling these solutions with hydrogenperoxide will change the performance of these chemical agents, e.g. RCA-1 attacks silicon at higher concentrations of NH 4 OH, resulting in a rough surface! Ultrasonication in demi-water: always use fresh demi-water in order to avoid particle contamination of your substrate. You need to contact Marion Nijhuis or Samantha Geerdink for a training on preparing private use RCA-1, RCA-2 and Piranha solutions (WB09).

Why should you care? YOUR actions affect OUR process reliability. Any contamination you introduce in our cleaning lines due to YOUR actions can ruin not only YOUR device performance but also the results of OTHER users! Take responsibility of your actions: NEVER deviate from the our procedures. DIRECTLY report a misstep to the staff. ALWAYS alert another user if he/she makes a misstep. ONLY work with approved process flows. DISCUSS any modification in the process flow with the staff. DISCUSS any rework in your processing with the staff.

Take home message Don t allow bad habits to propagate. Be conscientious about your own habits and don t be shy about correcting other users. Don t shortcut policy to get your own work done. We ALL make mistakes. Be professional take responsibility. Inform the staff immediately so we can minimize the effect on other user s processing. Ask questions to the staff if you have any doubts. Our cleanroom is a multi-application facility. Everything you do affects not only your work, but that of your fellow lab members.

FAQ How to remove metal residues after wet-chemical stripping of metals? RCA-2 (WB09) How to remove alkali metal residues after etching silicon in KOH? RCA-2 (WB09) How to remove particles after chemical mechanical polishing? RCA-1 (WB09)

FAQ What is the procedure to prepare the RCA-1 or RCA-2 solution? Contact the administrator for a training. ALWAYS prepare a new cleaning solution. What is the right moment to prepare the RCA-1/RCA-2 solution? Wafers are ready for cleaning. What is the right moment to clean in the RCA-1/RCA-2 solution? Submerge your samples directly after adding the H 2 O 2 to the solution at a temperature of 70-80 o C (bubbles).

FAQ How to remove traces of organics? HNO 3 (WB06, WB13, WB14 and WB16) Ozone Steam (WB12) Where can you find the cleaning procedures? Go to the Process flow database on the MIS website. Technology Process flow database What to do if you cannot find a cleaning procedure for your substrate? Contact Meint de Boer (process advice), Christiaan Bruinink (quality control) and the administrator of the equipment.

FAQ Why would you clean in private-use HNO 3? HNO 3 is for free, so why bother? I always use private-use HNO 3 for cleaning my substrates. I do not trust the HNO 3 in the assigned beakers (history). I do not trust anyone in the cleanroom. I do not trust my substrates. I can not find a cleaning procedure in the database. Contact Meint de Boer (process advice), Christiaan Bruinink (quality control), Marion Nijhuis or Samantha Geerdink (wet benches)