Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Similar documents
The Repeller Field debris mitigation approach for EUV sources

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Laser plasma EUVL sources progress and challenges

EUV lithography and Source Technology

Optimization of laser-produced plasma light sources for EUV lithography

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Evaluation at the intermediate focus for EUV Light Source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

UC San Diego EUV Lithography Group Progress Report

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Efficient EUV source by use of a micro-target containing tin nanoparticles

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Lecture 5. X-ray Photoemission Spectroscopy (XPS)

X-Rays From Laser Plasmas

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Important processes in modeling and optimization of EUV lithography sources

object objective lens eyepiece lens

MSE 321 Structural Characterization

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources

COST MP0601 Short Wavelength Laboratory Sources

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

X-ray photoelectron spectroscopy with a laser-plasma source

Secondary Ion Mass Spectrometry (SIMS)

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Interface (backside) & Extraction Lens

4. How can fragmentation be useful in identifying compounds? Permits identification of branching not observed in soft ionization.

Damage to Molecular Solids Irradiated by X-ray Laser Beam

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

Auger Electron Spectroscopy (AES)

CHARACTERIZATION of NANOMATERIALS KHP

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Spectral control of emissions from Sn-doped targets for EUV lithography

MSE 321 Structural Characterization

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Utsunomiya University Experiments, September - November 2011

Development of a table top TW laser accelerator for medical imaging isotope production

Time of Flight Mass Spectroscopy and Velocity Map Imaging

Ion debris characterization from a z-pinch extreme ultraviolet light source

Methods of surface analysis

Secondary Ion Mass Spectroscopy (SIMS)

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

20.2 Ion Sources. ions electrospray uses evaporation of a charged liquid stream to transfer high molecular mass compounds into the gas phase as MH n

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

X-Ray Photoelectron Spectroscopy (XPS)

Laser Dissociation of Protonated PAHs

EUV Reflectivity measurements on Acktar Sample Magic Black

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

ABSOLUTE AIR-KERMA MEASUREMENT IN A SYNCHROTRON LIGHT BEAM BY IONIZATION FREE-AIR CHAMBER

UV Degradation of Polycarbonate

Padraig Dunne, UCD School of Physics Dublin, Ireland.

EUV-Technology with Discharge EUV-Lamp"

SUPPLEMENTARY INFORMATION

Secondary ion mass spectrometry (SIMS)

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Laser and pinching discharge plasmas spectral characteristics in water window region

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Scanning Electron Microscopy

X-Ray Photoelectron Spectroscopy (XPS)

Auger Electron Spectroscopy Overview

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

Progress of the interaction between e - and molecule in Fudan University

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region

Comparison of EUV spectral and ion emission features from laserproduced

Application of Surface Analysis for Root Cause Failure Analysis

Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect.

Supporting Information s for

Lecture 22 Ion Beam Techniques

Diagnostics for laser plasma EUV sources

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA)

Lecture 8: Mass Spectrometry

David B. Cassidy. Department of Physics and Astronomy, University of California, Riverside, USA. Varenna, July 09

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Energetic neutral and negative ion beams accelerated from spray target irradiated with ultra-short, intense laser pulses

Astronomy 203 practice final examination

High Efficiency Collector for Laser Plasma EUV Source.

Lecture 8: Mass Spectrometry

Transcription:

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory, College of Optics & Photonics: CREOL & FPCE, University of Central Florida Vivek Bakshi SEMATECH ABSTRACT The EUVL collector mirror reflectivity degradation can be measured as erosion of the mirror surface caused by the high energy ion emissions. Characterizing the ion emission permits the analysis of the mechanisms of erosion and provides the capability to reduce the high energy ion emission which directly reduces the erosion rate. The degradation can also be measured as deposition of particulate debris on the mirror surface. The debris particles have sizes of only a few nanometers. We have demonstrated that the use of electrostatic repeller fields mitigates large fraction of the particle transfer. Our microscopic tin-doped droplet target is a mass-limited target and is designed to limit the flux of uncharged particulate matter emanating from the target, with the eventual objective of only generating charged material. The latter then may be inhibited from degrading EUV optics with the use of electrostatic repeller fields and other mitigation schemes. We present tin-doped droplet target ion emission characteristics in terms of ion energy distribution obtained using our ion spectrometer. Extensive studies on particle generation by controlling plasma conditions and the repeller field effect on individual ion species and particles is also described. Keywords: EUV Source, laser-plasmas, debris measurements, mitigation, tin plasma. 1. INTRODUCTION One of the biggest challenges for realizing EUVL source is the conversion efficiency (CE) of useful radiation generated from electrical energy supplied in gas discharge source cases and the laser energy in laser plasma source cases. Utilizing a suitable material like tin, which has demonstrated higher conversion efficiency, has led to a lot of progress in both types of source configurations 1,2. The challenge at the forefront now is the lifetime of the source components, which includes the collector mirror reflectivity lifetime. The collector mirror lifetime is severe issue for laser plasma sources when large collection angle is applied in which normal incident multilayer mirror is usually used as the collector mirror The reflectivity of the multilayer mirror used for the collector mirror drops when the number of bilayers is reduced or the structure of the layers is damaged, and/or the surface of the mirror is coated with various debris. We previously found that the reflectivity of the mirror drops when the number of layers is reduced due to erosion 3. The mirror erosion is mainly caused by the high energy ions generated in the plasma. Thus, measuring ion energy at the distance of the collector mirror is most important in understanding this damage mechanism. The ion energy distribution can provide us with a reliable prediction of mirror reflectivity lifetime. In order for all source configurations to satisfy the lifetime requirement 4, the ion energy distribution has to be measured. The reflectivity of the collector mirror also drops when a material which absorbs the radiation from the plasma coats the surface of the mirror. Utilizing tin as the target material leads this mirror reflectivity degradation mechanism to be dominant due to the tin particles generated in the plasma, which are deposited on the mirror surface 5. The sizes of deposited particles are characterized in this study. Although observed tin deposits are nanometer-size particles, the

thickness of each deposit is just enough to attenuate the useful EUV radiation at 13.5 nm and eventually coat entire surface of the collector mirror surface. It is important to know how those particles are generated in the laser-target material interaction. The condition of the interaction in which no particles are generated is ideal but if they are inevitably generated, they have to be mitigated. Debris mitigation includes a number of schemes such as buffer gas 6, foil trap 7, mechanical shutter 8, plasma shutter 9, magnetic field 10, and electric field 3,5. For gas discharge plasma sources, combination of buffer gas and foil trap is often seen. For laser plasma EUV source, because of the large distance between first collector mirror and the source, many of combinations of those schemes are possible. We previously demonstrated the electric field mitigation of debris, called Repeller field 11 for the water droplet plasma source 3 as well as tin-doped droplet source 5. Significant improvement in maintaining the mirror reflectivity with applying the field in water droplet source was observed. Similarly, high energy ions and the tin particles are repelled by the field. In this paper we present firstly detailed analysis on ion energy distribution by using one of our ion spectrometers, secondly particle origin, particle generation control and total tin emission analysis, and finally the Repeller field mitigation for individual ion species and particles. 2. EXPERIMENTAL SETUP 2.1. Microscopic laser plasma generation The experiments described here take place in our dedicated vacuum chamber for debris studies, as shown in fig. 1. The vacuum pressure is kept around 4x10-4 Torr during the measurements. A commercial high repetition rate Q-switched Nd:YAG laser is used for the plasma generation. The maximum laser pulse energy is 340 mj, the pulse duration is about 10 ns, and the repetition rate is 100 Hz. The laser beam is focused onto the target using a lens with focal length of 50 mm, which gives the maximum intensity of approximately 6.8x10 11 W/cm 2 at the focal spot whose diameter is 70 µm. The target containing 30% tin solution is delivered from a capillary nozzle. The number of tin atoms doped in a target is calculated to be in the order of 10 13 atoms. A train of uniform droplets is formed by driving a piezo-crystal attached to the nozzle and moving at the velocities of approximately 20 m/s. Typical diameters of each droplet range from 30 µm to 50 µm depending on the driving frequency of the piezo-crystal. Repetition rate of target generation is typically 30 50 khz, which is much higher than the operation frequency of the laser. Thus the unused targets are captured by a cryogenic cold trap in order to prevent evaporation in the vacuum chamber, which will otherwise result in increased pressure inside the chamber. 2.2. Three methods for measuring ions Three different approaches are used to measure ionic debris. The first is a Faraday Cup Ion Probe (IP) and the second is a custom designed Ion Spectrometer configured as an Electrostatic Ion Energy Analyzer (ESIEA). The third a custom designed Thomson Parabola ion Spectrometer (TPS). Those configurations are shown in Fig. 2. Both the IP and the ESIEA utilize Time of flight (TOF) analysis and have been described previously 12. The ESIEA has been improved in terms of resolution of the spectral lines and vacuum pressure. By putting two slits whose width is 1 mm each in the entrance as well as the exit of the analyzer provide sharp signals well enough to identify different ion species like O + (M/Z=16) and Cl 2+ (M/Z=17.7). Also the distance from the plasma to the ion detector is lengthened to 900mm, from 640mm as reported previously, so that the resolution of the signal is improved. The vacuum setup is revised and the ambient pressure is kept below 1x10-6 Torr during operation. One of the important assumptions of the ESIEA analysis is that the plasma condition stays the same during the series of measurements. In order to obtain precise ion energy distributions, more measurements are necessary, and, it is critical to maintain the same plasma conditions. On the other hand TPS can provide complete ion energy profile from a single plasma generation. A comprehensive study for ion energy distributions based on TPS measurements is currently under way.

Figure 1: Dedicated facility for debris studies Faraday Cup Ion Probe Inside target chamber Aperture diameter 5[mm] Various distance from source ~ -60V Oscilloscope Thomson Parabola Spectrometer Vacuum: 1x10-6 [Torr] Aperture diameter 1[mm] MCP distance from source 880[mm] Analyzer size 25mm Variable E & B field Nd:YAG Laser beam Droplet target 50 ~ 150 mm Aperture Ion spectrometer (Electro Static Ion Energy Analyzer ESIEA) TOF field free path Analyzer DC HV 0 ~ 2 kv 100 mm Apertures Plasma Vacuum: 1x10-6 [Torr] Aperture diameter 1[mm] CEM distance from source 640&900[mm] Channel Electron Multiplier E & B field MCP & Phosphor screen Ion energy analyzer Oscilloscope Target chamber Figure 2: Three methods of ion measurement 2.3. Particle detection and characterization Particles are mainly detected by using witness plates and analyzed by using various types of microscopy and spectroscopy. Detailed investigation of the tin particles on the witness plate surfaces is performed by using Optical Microscopy (OM), Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Auger Electron Spectroscopy (AES), and X-ray Photoelectron Spectroscopy (XPS) are discussed previously 5. Those particles are identified as tin particles by AES and characterized in size by AFM. Rutherford Backscattering Spectroscopy (RBS) is used to analyze total deposition of tin on the surface.

The witness plates used here are pieces of Si wafer which are placed at a designed distance from the plasma and are orthogonal to the laser incident. The plates are also replaceable during an experiment without bringing the vacuum in the chamber to atmosphere so that any differences in the experimental conditions between samples are eliminated. 3. RESULTS 3.1. Detailed ESIEA spectral analysis and ion energy distribution 3.1.1. Converting spectrometer signal to M/Z signal A typical ESIEA spectrometer signal is shown in fig. 3 (a). There are several peaks observed in the signal because the different components of the target material arrive at the detector at different times depending on their mass charge ratio (M/Z). This TOF based signal is too complex for analysis of the entire ion energy distribution. To identify all the signal peaks, the signal is converted to M/Z based signal by using the following equation. M er = E( TOF ) 2 2 (1) Z mpl, where M is the atomic mass of the ion of interest, Z is the charge number, e is electron charge, R is the radius of the analyzer path, m p is proton s mass, l is the ion detector distance from the plasma, E is the electric field, and TOF is the time-of-flight in the signal. A typical M/Z signal is shown in fig. 3 (b). The M/Z axis is plotted in logarithmic scale. All the peaks in the signal are identified as certain ion species. This method is applied for all other measured signals and then a complete map of the ion signals can be obtained. (a) (b) 0.02 O 3+ 0 Signal [V] -0.02-0.04 E/Z=304eV -0.06 0.0E+00 1.0E-05 2.0E-05 3.0E-05 H + O 4+ O 2+ Cl 3+ O + Cl 2+ Cl + Sn + Sn 2+ TOF [s] Figure 3 (a) a typical signal from ESIEA spectrometer, (b) converted M/Z signal 3.1.2. Interpolation and calculation of ion energy distribution By keeping the same plasma conditions it is possible to obtain M/Z signals with different energies by changing the electric field strength in the analyzer. It is also possible to plot all signals together and investigate the signal profile along with a constant M/Z. However, because different M/Z spectra are converted from different TOF signals, the M/Z values in all the signals plotted do not lineup. A simple linear interpolation can be applied to the all converted signals. A complete map of M/Z spectra at a constant plasma condition is shown in fig. 4. It is possible to make profile for a constant M/Z, in other words, slicing the spectral map for each ion species can provide ion energy distribution.

Figure 4. A map of M/Z spectra at a constant plasma condition In order to obtain an ion energy distribution for each ion species, it is necessary to count the number of charges at all the points in the spectrometer. Assumptions made here are 1) electron multiplier efficiency is unity for all the ion species and all the ion energies, 2) the ion energy distribution is constant in each signal window, 3) no divergence of ion stream inside the field free path in the spectrometer, and 4) isotropic ion emissions from the plasma. It is then possible to count how many ions have specific kinetic energy per solid angle. It is also possible to calculate number of ions having more than a certain kinetic energy per unit area on the mirror surface at a given mirror distance. (a) 2.0E+07 2.0E+07 (b) dn/de 1.5E+07 1.0E+07 5.0E+06 O+ O2+ O3+ O4+ O5+ dn /de 1.5E+07 1.0E+07 5.0E+06 O+ O2+ O3+ O4+ O5+ O6+ 0.0E+00 100 1000 10000 100000 KE [ev] (c) 2.0E+07 0.0E+00 2.0E+07 100 1000 10000 100000 KE [ev] (d) dn /de 1.5E+07 1.0E+07 5.0E+06 Sn+ Sn2+ Sn3+ Sn4+ Sn5+ dn /de 1.5E+07 1.0E+07 5.0E+06 Sn+ S n2+ S n3+ S n4+ S n5+ 0.0E+00 100 1000 10000 100000 KE [ev ] 0.0E+00 100 1000 10000 100000 KE [ev] Figure 5. (a) Energy distribution of oxygen ions at intensity of 1.2x10 11 W/cm 2, (b) oxygen at 4.8x10 11 W/cm 2, (c) tin at 1.2x10 11 W/cm 2, (d) tin at 4.8x10 11 W/cm 2. Calculated ion energy distributions at two different plasma conditions are shown in fig. 5. All the distributions show higher population in lower ion energies. Also lower ionization states are observed at the distance from the plasma compared to the ionization states in the plasma in which higher ionization states are expected for EUV radiation. These are the results of collisions and recombinations during plasma expansion. For oxygen ion distributions, it is observed higher energy ions and higher ionization states at higher laser intensity which is too high for optimum CE. On the other hand, no significant change in tin ion distribution is observed at very high intensity for optimum CE.

3.2. Particle generation control and total tin emission analysis 3.2.1. Particle origin determination Particle generation can be the result of incomplete heating of the target including secondary heating of the adjacent targets by the plasma radiation or scattered laser light. In order to determine the origin of the particle generation, the following procedure is applied. First, a mask with a certain profile of opening is installed. Second, the target separation is enlarged to eliminate possibility of having the adjacent target heated directly or secondarily by the laser. Third, the target formation and positioning are carefully controlled during the exposure, in other words, no unwanted target or satellites are close to the focal region. Lastly, the laser energy is reduced intentionally so that incomplete heating occurs. The plasma is generated by 60 mj laser pulse. The mask and witness plate configuration is shown in fig. 6 (a) and the witness plate surface image is shown in fig. 6 (b). The distance in transitions of the deposited area to no deposition area is 75.7 µm vertically and 32.4 µm horizontally. With the geometry shown in fig. 6 (a) the size of origin of the particles is 46.9 µm vertically and 20 µm horizontally which are equivalent to the size of the target. Thus the particles are generated at the target, and not at the adjacent targets. (a) (b) d source Mask w edge d 1 =31mm d 2 =50mm d 1 d source = d 2 w edge Figure 6. (a) distances of target, mask, and witness plate, (b) dark field image of the witness plate surface 3.2.2. Comparison of particle generation in two different plasma conditions Two witness plate samples are produced using different plasma conditions. One plasma condition is created by using a 60 mj laser pulse, which is the same condition for the particle origin determination experiment. The other condition is created by using a 240 mj laser pulse which produces the condition for the optimum CE. The exposure is 1.2 x 10 5 shots for both cases. The SEM images of those two samples are shown in fig. 7. As it is shown in fig. 7 (a), a number of particles are deposited on the surface, on the other hand, only a few particles observed in fig. 7 (b). This comparison shows the target material heated by low energy laser pulse generates much more particles than the target under high energy laser pulse. The incomplete heating of target material cause two effects: generation of more particles and creation of less EUV emission. Thus it is important to maintain the optimum irradiation conditions for particle free plasma generation, as well as high EUV emission.

(a) (b) Figure 7. (a) SEM image of witness plate surface exposed by plasma created by 60 mj laser pulse, (b) by 240 mj pulse 3.2.3. Total tin emission analysis on the surface A quantitative analysis is made by RBS on the witness plate samples exposed to the plasma created at particle free condition as described above. The RBS analysis shows only Si and Sn present on the surface. Because the substrate is made of silicon, only tin is deposited on the surface. The amount of the tin deposition is calculated to be 2x10 15 atom/cm 2. If we assume that the tin emission is isotropic, the total emission of 1.1x10 12 atoms/shot-sr is obtained. This is equivalent to the number of atoms in the target. Although we create particle free conditions, tin atoms in the target are detected on the surface. Therefore effective mitigation schemes are necessary 3.3. Repeller field mitigation for individual ion species and particles 3.3.1. Repeller field mitigation for individual ion species As previously reported the Repeller field stops or slows down protons 12. However, the IP measurement cannot provide other ion species to be repelled or slowed by the field. The ESIEA measurement with the field is carried out by installing the field in front of the aperture of the spectrometer. In order to see the effect of the field, analyzed energy is set to 380 ev so that singly charged oxygen ions, chlorine ions, and tin ions are detected. As it s seen in fig. 8, the peaks of the spectrometer signals for those ion species are reduced when the field potential approaches to the kinetic energy of the ions. By counting number of ions contributing to each ion signal peak, reduction ratios of about 200 for oxygen ions, 6 for chlorine ions, and 8 for tin ions are observed. Therefore the field is effective for individual ion species. 0.025 0.02 0.025 0.025 (a) O + (b) (c) 0.02 No field 306V 0.02 Cl + 408V Signal [V] 0.015 0.01 0.005 Sn + Signal [V] 0.015 0.01 0.005 Signal [V] 0.015 0.01 0.005 0 0 0 10 100 1000 10 100 1000 10 100 1000 M/Z [a.m.u.] M/Z [a.m.u.] M/Z [a.m.u.] Figure 8. (a) ion spectra with no field applied, (b) with field potential of 306V, (c) with field potential of 408V 3.3.2. Repeller field mitigation for particles The particles generated in the plasma and deposited on the witness plates are repelled by the field 5,10. To confirm that the particles are charged and repelled by the field, the following RBS analysis is made. A slit and a transverse electric field are applied for the purpose of removing any intervening structure to obtain direct line of sight for the witness

plates to the plasma source region. For this experiment, we used the laser pulse energy of 60 mj, as under this condition a large number of debris particles are generated. No field is applied for one sample during the exposure and 1 kv potential is applied for the other sample for 50% of the total exposure time. The reason for applying field potential for a half of the exposure is that the area in which particles are deposited is necessary for the post shot analysis. A RBS analysis is performed on those samples. Tin depositions are measured to be 35x10 15 atoms/cm 2 for the sample with no field applied and 16x10 15 atoms/cm 2 with the field applied. The reduction ratio is calculated to be 46% which is very close to the duty cycle of the high voltage applied for the field. Therefore the particles are charged and deflected by the field so that no particle reaches the witness plate surface when the field is applied. 4. CONCLUSION This study summarizes detailed analysis conducted, in particular, for oxygen and tin ion energy distributions. The distributions show that the majority of ions have lower energy and lower ionization states at two different laser irradiation conditions. The origin of particles is determined as the size of the target and the particle free condition is obtained at the condition for optimum CE. Total tin emission analysis by RBS is described. The Repeller field mitigation is evaluated for individual ion species and particles, and it is found that the field is effective for individual ion species and tin particles. ACKNOWLEDGMENTS The authors wish to acknowledge the technical assistance of colleagues with LPL at the College of Optics & Photonics: CREOL & FPCE, particularly Dr G Shimkaveg, Simi George, Robert Bernath, and Joshua Duncan and Zia Rahman and Kirk Scammon for the assistance at AMPAC/Material Characterization Facility. This work is supported by SEMATECH and the State of Florida.

REFERENCES 1 M. Richardson, C-S Koay, K. Takenoshita, C. Keyser, "High conversion efficiency mass-limited Sn-based laser plasma source for EUV lithography," Journal of Vacuum Science and Technology B, volume 22, number 2, pages 785-790, 2004. 2 J. Pankert, Philips EUV lamp: Status and Roadmap, presentation at ISMT EUV source workshop, Sep. 29, 2003. 3 G. Schriever, M. C. Richardson, E. Turcu, "The droplet laser plasma source for EUV lithography," Proceedings of CLEO, pages 393-394, 7-12 May 2000. 4 Y. Watanabe, Source requirements, presentation at ISMT EUV source workshop, Feb. 22, 2004. 5 K. Takenoshita, M. C. Richardson et al., "The repeller field debris mitigation approach for EUV sources," Emerging Lithographic Technologies VII, SPIE, volume 5037, pages 792-800, 2003. 6 W. T. Silfvast, M. C. Richardson et al., "Laser-Produced Plasmas for Soft X-ray Projection Lithography," Journal of Vacuum Science and Technology B, volume 10, number 6, pages 3126-3133, 1992. 7 L. A. Shmaenok, R. de Bruijn, R. Stuik, F. Bikerk, et al., SPIE Vol. 3331, pp. 90-95, 1998 8 M. Richardson et al., Characterization and control of laser-plasma flux parameters for soft x-ray projection lithography, Applied Optics Vol. 32, pp. 6901-6910, 1993. 9 H. Yashiro et al., Study of ultra-fast ion shutter employing a laser-produced plasma, Emerging Lithographic Technologies VII, SPIE, volume 5037, pages 759-766, 2003. 10 H. Komori et al., Ion damage analysis on EUV collector mirrors, Emerging Lithographic Technologies VIII, SPIE, volume 5374, pages 839-846, 2004. 11 M. Richardson and G. Schriever, US Patent 6,377,651, (2002). 12 K. Takenoshita, C-S Koay, S. Teerawattanasook, M. Richardson, "Debris studies for the tin-based droplet laserplasma EUV source," Emerging Lithographic Technologies VIII, volume 5374, pages 954-963, 2004.