T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

Similar documents
Introduction to VLSI Testing

Hardware testing and design for testability. EE 3610 Digital Systems

Design for Testability

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

EECS 579: Logic and Fault Simulation. Simulation

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Design for Testability

ECE 3060 VLSI and Advanced Digital Design. Testing

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Advanced Testing. EE5375 ADD II Prof. MacDonald

Logic BIST. Sungho Kang Yonsei University

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Built-In Test Generation for Synchronous Sequential Circuits

Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels

Test Generation for Designs with Multiple Clocks

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1.

Finding Optimum Clock Frequencies for Aperiodic Test. Sindhu Gunasekar

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

ECE 1767 University of Toronto

EECS 579: SOC Testing

S No. Questions Bloom s Taxonomy Level UNIT-I

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Chapter 5 Synchronous Sequential Logic

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Chapter 8. Low-Power VLSI Design Methodology

Digital Fundamentals

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

Delay Testing from the Ivory Tower to Tools in the Workshop

CHW 261: Logic Design

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

NTE74HC109 Integrated Circuit TTL High Speed CMOS, Dual J K Positive Edge Triggered Flip Flop w/set & Reset

EECS 151/251A Homework 5

Fault Modeling. Fault Modeling Outline

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

On Potential Design Impacts of Electromigration Awareness

EE115C Digital Electronic Circuits Homework #4

Clocked Synchronous State-machine Analysis

Digital Circuits and Systems

EE115C Digital Electronic Circuits Homework #5

Topic 4. The CMOS Inverter

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

Digital Fundamentals

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Very Large Scale Integration (VLSI)

Total Ionizing Dose Test Report. No. 11T-RT3PE3000L-CG896-QHR8G

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Chapter 2 Fault Modeling

Lecture 9: Digital Electronics

Chapter 7. Sequential Circuits Registers, Counters, RAM

Digital Logic Design - Chapter 4

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web: Ph:

Fault Tolerant Computing CS 530 Fault Modeling

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

6 Synchronous State Machine Design

EECS150 - Digital Design Lecture 23 - FSMs & Counters

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

CMOS Inverter. Performance Scaling

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum.

Digital Systems Design Overview. ENGIN 341 Advanced Digital Design University of Massachuse?s Boston Department of Engineering Dr.

DM74LS670 3-STATE 4-by-4 Register File

ELCT201: DIGITAL LOGIC DESIGN

Chapter 7 Sequential Logic

Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Lecture 2: CMOS technology. Energy-aware computing

VLSI Design I. Defect Mechanisms and Fault Models

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Skew-Tolerant Circuit Design

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Assignment #1 SOLUTION

Transcription:

Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009

Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost reduction using DFT methods On chip clocking Scan compression Scan balancing using OCC At-speed test using SDD (Small Delay Detect) Power-Aware DFT MBIST Future works

Introduction Yield (%) Nominal 100 90 80 70 60 50 40 30 20 10 0 Process-Related Reticle-Related Performance-Related 0.35um 0.25um 0.18um 0.13um 90nm 65nm 45nm Technology Node IBS Corp. July 07 Un-modeled signal integrity faults Need for accurate at-speed test High Cost ATE Huge test patterns are required for un-modeled faults

Introduction t ign Cos % Des 40 35 30 25 20 15 10 5 0 130 90 65 45 32 22 Feature Dimension IBS Corp March `08 Test Cost Reduction the cost of wafer test & final test To increase test coverage for large circuits To facilitate multi-site testing To use a low cost ATE

Introduction Very large block reuse Many case HDL codes are modified for the test Scan stitching & MBIST are inserted in top level Hierarchical DFT flow is required ITRS 07

Key factors for test cost reduction Test pattern volume ATE memory capacitance Increasing test time Multi DUT test Low cost ATE Test cost saving per sec. Load board cost Test time Test cost saving each device A number of test vectors are required Reuse a large block Time-to-market

Top block diagram within DFT

OCC(On chip clocking) (1/9) X X X>0 0 1 1 1 0 0 0 0 Synopsys DFT_MAX

OCC (2/9) 1 1 0>1 1 0 0 0 1 1 1 0

OCC (3/9) 1 1 1>0 0 0 0 0 1 1 1 0

OCC (4/9) 1 1 1>0 0 1 1 1 1 1 1 0

OCC (5/9) 1 1 1>0 0 1 1 1 0 1 1 1

OCC (6/9) 1 1 1>0 0 1 1 1 0 0 1 1

OCC (7/9) 1 1 1>0 0 1 1 1 0 0 0 0

OCC (8/9) 1 1 0>1 1 1 1 1 0 0 0 0

OCC (9/9) 1 1 0>1 1 0 0 0 1 1 1 0

Scan compression C = L mode number Max. Fanout : 3 Key benefits 10-100x 100 test t time and test t volume reduction to lower test t costs Same high test coverage and ease-of-use as standard scan No impact on design timing No impact on design physical implementation Very low area impact Tightly integrated with low-power design flows Enables higher test quality for designs at 130-nm and below Synopsys DFT_MAX

Scan balancing Method Calculate the block power for scan stitching in shift mode Compose of scan compression logics for each blocks Connect PLL & OCC & DFT block Decompressor Compressor Decompressor Compressor

Scan STIL format Scan shift frequency : 25Mhz Scan shift frequency : 50Mhz Timing { Period 50ns 50Mhz_clk { P { 0ns D; 25ns U; 50ns ;D}} 20Mhz_clk { P { 0ns D; 25ns U; 50ns ;D}} } Pattern 0 Chain1 {101010101 01010101010 } Chain2 {111011101 00000000000 00000000000 } Pattern 1 Chain1 {001010100 01111101010 } Chain2 {111010100 01100101010 }. Timing { Period 25ns 50Mhz_clk { P { 0ns D; 14ns U; 24ns ;D}} 25Mhz_clk { 01XZ { 0ns }} } Pattern 0 Chain1 {101010101 01010101010 101010101 010101010} 010101010} Chain2 {111111001111110011 0000000000000000000000 } Pattern 1 Chain1 {001010100 0111110101001111101010 101110101 010111010} Chain2 {111111001100110000 0011110000110011001100 }.

Scan compression results DUT F/F scan chain Gate Maximum Frequency / (number) count Test Clock Frequency LGxxxx 268,925 16/160 17,143,112 400Mhz / 25Mhz Normal Scan Scan compression Scan balance Using scan compression Scan chain /scan chain number 16chains 15100 FF 160chains 1510 FF 80chains (50Mhz) : 2012 FF 80chains (25Mhz) : 1006 FF Test pattern 5,000 patterns * 15,100100 5000 patterns *1510 legnth 5000 patterns * 2012 legnth volume legnth = 7,550,000 = 10,060,000 = 75,500,000 Test Stuck-at fault : 99.4% Stuck-at fault : 99.4% Stuck-at fault : 99.4% coverage Transition fault : 87.42% Transition fault : 87.42% Transition fault : 87.39% Test time 3.41 sec 0.377 sec 0.252 sec Hardware overhead 2.1% 2.4% 2.7%

At-speed Test using SDD Small Delay Defect (SDD) target faults and non-target faults Uses slack-based test generation for defined SDD target faults Uses regular transition fault test generation for all others in fault list Slack-aware aware tests detect small-delay defects ATPG selects observation path with lowest slack Slack data from timing sign-off tool Synopsys DFT_compiler

Power-aware DFT Power-aware DFT using AND gate Logic driven by the functional output does not toggle during scan shift Adds an AND gate at the functional output of a scan flop per user s specification Benefit Reduces power dissipation during shift Synopsys DFT_Compiler

MBIST using PLL clock source Controllers configured and assigned to memories based on several criteria / constraints including Clock domains Physical clustering Test time Power draw Mentor Graphics

MBIST detail connection Test time of Algorithms : 20N + 2 * retention time (determined by retention time in the memory library file ) Mentor Graphics Fault model list : Stuck-at faults * Transition faults * Unlinked dynamic coupling faults * Address decoder faults * Read/Write logic faults * Parametric faults ( cycle time, write recovery time, data retention ) Destructive read faults * Single-port bitline coupling fault

Fault Summary Report (Wafer test) Per input O/S P/S IIL IPU IIL SIDD AIDD MBIST SCAN SC_TR SC_PATH SC_BR 0.044% 0.270% 0.019% 0.000% 0.016% 0.262% 0.022% 0.840% 1.065% 0.222% 0.001% 0.000% Per fail 1.602% 9.766% 0.689% 0.000% 0.576% 9.496% 0.811% 30.427% 38.571% 8.031% 0.020% 0.012% * path delay pattern is covered 10ppm * Bridging pattern is covered 5~6ppm * TSMC 65nm LP process Total faults 90% (excluded AIP, DDR interface) Undetected fault : 10% (AIP, DDR interface) Transition 7.2% IIL, IPU, SIDD, AIDD, O/S, P/S 20.7% Stuck-at 35% Pattern numbers : 4000 (using adaptive scan in synopsys) MBIST 27.1% Included PLL (using ETCreate in Logicvision) Bridging 0.012% Path delay 0.02%

Future Works Analog / Mixed-signal / RF All-digital DFT methods Structurally-based DFT methods RF wrappers for SoCs MPU / PE / DSP / Memory Delay BIST methods Alternative digital BIST methods using IDDx, thermal test, etc. System-wide digital BIST architectures SoC / SIP solutions Test generation tools and integrated DFT methods Hierarchical DFT methods Simulation models and tools for predicting DFT impact on system performance Statistical methods for coverage monitoring DFT / ATE interface standard definitions ITRS 07