Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

Similar documents
Nuclear Instruments and Methods in Physics Research B 260 (2007)

High aspect ratio micro/nano machining with proton beam writing

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Development of Lift-off Photoresists with Unique Bottom Profile

Introduction. Photoresist : Type: Structure:

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Nanostructures Fabrication Methods

Photolithography 光刻 Part II: Photoresists

Micro-patterned porous silicon using proton beam writing

Fabrication of micro-optical components in polymer using proton beam writing

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Fabrication of Polymeric Photonic Structures using Proton Beam Writing

Lecture 8. Photoresists and Non-optical Lithography

Techniken der Oberflächenphysik (Techniques of Surface Physics)

High Optical Density Photomasks For Large Exposure Applications

Effect of PAG Location on Resists for Next Generation Lithographies

Nanosphere Lithography

Pattern Transfer- photolithography

Introduction to Electron Beam Lithography

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Electron-beam SAFIER process and its application for magnetic thin-film heads

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Supplementary Information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

The use of proton microbeams for the production of microcomponents

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Robust shadow-mask evaporation via lithographically controlled undercut

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Roughness characterization in positive and negative resists

Focused high energy proton beam micromachining: A perspective view

Photolithography II ( Part 1 )

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Top down and bottom up fabrication

Introduction to Photolithography

Development of a nanostructural microwave probe based on GaAs

Overview of the main nano-lithography techniques

Quantum Dots for Advanced Research and Devices

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

DUV Positive Photoresists

Proton Beam Writing of Passive Polymer Optical Waveguides

5. Photochemistry of polymers

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Nanoimprint Lithography

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Nuclear Instruments and Methods in Physics Research B 260 (2007)

The deposition of these three layers was achieved without breaking the vacuum. 30 nm Ni

Direct write electron beam patterning of DNA complex thin films

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

International Journal of Science, Environment and Technology, Vol. 6, No 2, 2017,

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

SUPPORTING INFORMATION

Nano fabrication by e-beam lithographie

MICRO AND NANOPROCESSING TECHNOLOGIES

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Optical Proximity Correction

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Lithography and Etching

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Nano Materials. Nanomaterials

Supplementary Information

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Selective etching of PDMS: etching as positive resist

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Nano-Lithography. Edited by Stefan Landis

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

and their Maneuverable Application in Water Treatment

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Three Approaches for Nanopatterning

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Nanotechnology Fabrication Methods.

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Dielectric constant measurement of P3HT, polystyrene, and polyethylene

ESH Benign Processes for he Integration of Quantum Dots (QDs)

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Fabrication of ordered array at a nanoscopic level: context

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Electrochemical fouling of dopamine and recovery of carbon electrodes

GRAPHENE EFFECT ON EFFICIENCY OF TiO 2 -BASED DYE SENSITIZED SOLAR CELLS (DSSC)

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Transcription:

Microsyst Technol (2008) 14:1423 1428 DOI 10.1007/s00542-008-0571-x TECHNICAL PAPER Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography M. Chatzichristidi Æ I. Rajta Æ Th. Speliotis Æ E. Valamontes Æ D. Goustouridis Æ P. Argitis Æ I. Raptis Received: 11 July 2007 / Accepted: 18 January 2008 / Published online: 6 February 2008 Ó Springer-Verlag 2008 Abstract A variety of different photo resists are used for the fabrication of polymer and metal high aspect ratio structures. Among them SU-8, a chemically amplified negative tone photoresist is the mostly used. However, after processing the finished resist pattern (SU-8) is hardly removed from the substrate. In the present work the formulation and process optimization of a negative tone chemically amplified photoresist (TADEP) is presented. TADEP resist owns two advantages: the dissolution of the uncrosslinked areas in IC standard aqueous developers and the easy stripping in acetone by the assistance of ultrasonic bath. The TADEP resist is successfully applied for the fabrication of polymer and metal structures, after electroplating and stripping and also in the case of Proton Beam Writing. 1 Introduction Polymer structures with high thickness and at the same time small lateral critical dimensions (High Aspect Ratio, M. Chatzichristidi E. Valamontes D. Goustouridis P. Argitis I. Raptis (&) Institute of Microelectronics, NCSR DEMOKRITOS, 15310 Athens, Greece e-mail: raptis@imel.demokritos.gr I. Rajta Institute of Nuclear Research of the Hungarian Academy of Sciences, P.O. Box 51, 4001 Debrecen, Hungary Th. Speliotis Institute of Material Sciences, NCSR DEMOKRITOS, 15310 Athens, Greece E. Valamontes Department of Electronics, TEI of Athens, 12210 Aegaleo, Greece HAR) have gained significant attention during the last 15 years. Such structures are traditionally fabricated by photolithography (e.g., Chan-Park et al. 2004; Liu et al. 2005) and X-ray lithography (e.g., Mappes et al. 2007). In addition to these classical lithographic technologies, Proton Beam Writing (PBW) has been proposed (Watt et al. 2007) and successfully applied for the fabrication of high aspect ratio structures with critical dimensions either in the micron scale or in some cases in the sub 100 nm regime (Ansari et al. 2004). Due to its unique features, PBW is considered as the best solution for rapid prototyping for the fabrication of high aspect ratio micro/nano structures. In several cases the complementary of the resist pattern is transferred to a thick metallic film (e.g., Au, Ni) by electroplating. The photo and/or radiation sensitive resists used for these patterning technologies should fulfil certain requirements, like dimensional control over the entire height, adequate sensitivity, easy and repeatable coating, and easy stripping. In addition to these specifications, a great advantage could be the processing in compatible to semiconductor fabrication conditions for further integration with ICs. X-ray LIGA (Rai-Choudhury 1997) process with PMMA (positive tone resist) is a widely used technique for the fabrication of molds for subsequent electroplating and although gives very good results, it needs development in organic solvents (not compatible with IC fabrication), and its cost is very high (synchrotron sources). Alternatively, SU-8 (Lee et al. 1995), a negative epoxy based photoresist, has been applied in both X-ray and I-line lithography (e.g., Tian et al. 2005) with very good lithographic performance in terms of resolution and aspect ratio values. This photoresist comprise of eight epoxy rings in its polymer chain and owes its good lithographic performance to the dense crosslinking of the epoxy upon irradiation in the presence

1424 Microsyst Technol (2008) 14:1423 1428 of a suitable PhotoAcid Generator (PAG). During the dissolution step, the unirradiated areas are dissolved in organic solvents. Its high crosslink density provides the ability to fabricate very high aspect ratio (HAR) structures, but the crosslinked areas can not be stripped via conventional stripping chemistries, e.g., after an electroplating step. To overcome the SU-8 limitations several formulations have been proposed in the last years. In one case (Flack et al. 2000) an aqueous base developable photoresist comprised of a phenolic resin, a crosslinker, and PAG has been examined for UV-LIGA process. In another chemically amplified approach (Schirmer et al. 2007) the crosslinking is based not in epoxy but in amino group in the presence of acids. This process is enhanced by aromatic conjugated compounds. In the last few years a negative chemically amplified photoresist platform consisting of epoxy (EP), partially hydrogenated poly(hydroxystyrene) (PHS) components and suitable PAG has been proposed and developed by our group (Chatzichristidi et al. 2002a, b) where the crosslink density is moderate compared to the SU-8 and the development of unexposed areas is carried out in IC standard tetramethyl ammonium hydroxide (TMAH) 0.26N solutions. In the present work the resist formulation (Thick Aqueous Developable Epoxy resist: TADEP resist) and particular processing steps have been optimized for both thick film UV and PBW lithography. Finally, thick metal structures after Ni electroplating and resist stripping are presented. 2 Experimental The evaluation of the negative chemically amplified resist TADEP for optical lithography and proton beam writing is presented in this study. TADEP consists of a specific grade epoxy novolac (EP) polymer (Shell) after fractionation, a partially hydrogenated poly-4-hydroxy styrene (PHS) polymer (Maruzen Petrochemicals Co), and an onium salt as PAG. The formulation for both optical and PBW consists of 40% (w/w) total polymer concentration in ethyl(s)- lactate. The polymer was a mix of 78% (w/w) PHS with 12% degree of hydrogenation and 22% (w/w) EP. All optical lithography exposures were carried at broad-band contact mask aligner (Karl-Suss MJB3) either through a broad I-line filter or without filter. The proton beam exposures were performed on the nuclear microprobe facility at ATOMKI, Debrecen, Hungary (Rajta et al. 1996). The proton energy used for all exposures was 2 MeV. The proton beam was focussed down to *3 lm spot size and the beam current used was in the 5 60 pa range. The scan size was typically 1,000 lm and the beam step size was 1 lm. The fluence was 300 nc/ mm 2. The thermal processing steps (Post Apply Bake (PAB), Post Exposure Bake (PEB)) were carried out on a levelled hot plate. The development was performed in AZ726 MIF (0.26N TMAH) at room temperature in stirring mode. Before the nitrogen drying, the samples were rinsed in deionized water. The absorbance tests were carried out by a PerkinElmer Lamda40 UV-Vis spectrometer. The effect of the PAB processing conditions on the film properties was carried out with a home made apparatus based on Single Wavelength Interferometry (SWI) (Raptis 2001). The resist dissolution rate studies were performed with an experimental set-up based on White Light Reflectance Spectroscopy (WLRS) (Kokkinis et al. 2005). Both characterization methodologies are based on the interference due to the optical path difference of the light travelling in the resist film and reflected at the Si substrate. The electroplating was carried out at a temperature controlled bath at 50 C by using a solution consisting of nickel sulfate, nickel chloride, boric acid, ferrous sulfate and saccharin and a constant current density of 40 ma/ cm 2. Stripping of the crosslinked regions was performed using acetone in an ultrasonic bath (Branson 2200, frequency of 47 khz ± 6%). The evaluation of the final structures was performed in the Institute of Microelectronics, NCSR Demokritos on a LEO 440 SEM and in the Department of Solid State Physics (University of Debrecen Atomki Electronmicroscope Laboratory) in Debrecen on a Hitachi S-4300CFE SEM. 3 Results 3.1 Formulation and processing optimization In order to optimize the resist formulation towards the lithographic performance, significant research effort should be devoted to the optimization of the chemical components and the processing steps. In the present study several PAGs have been examined in terms of absorbance but also in terms of dissolution properties. The latter study is of paramount importance given that the development should be carried out in a controlled way in order to allow patterning with moderate crosslinking density which at the end provides the stripping capability. The most promising PAGs proved to be a) the TPS-Antimonate (triphenyl sulfonium antimonate) and b) o-cs Triflate (1-(4-hydroxy-3-methylphenyl) tetrahydrothiophenium triflate). The amount of TPS-Antimonate added in the resist solution was 5% w/w, whereas the amount of o-cs- triflate added was 3.4% w/w

Microsyst Technol (2008) 14:1423 1428 1425 of the polymer. These percentages were calculated in order to have equal number of PAG molecules in the resist solutions in both cases. In addition, a mixture of these two PAGs consisting of TPS-Antimonate 3.4% and o-cs Triflate 1.1% was also evaluated. Again, the total number of PAG molecules is the same with the first two cases. The absorbance spectra of the resist formulations with the above PAGs have been extrapolated for 1 lm film thickness and are illustrated in Fig. 1. The absorbance of the pure polymer blend (without PAG), shown also if Fig. 1, is small in the targeted spectrum range (around 365 nm). The addition of TPS antimonate causes no significant increase in the absorption of the polymer whereas the addition of the o-cs triflate shows an increase in the absorption below 320 nm. The limited absorbance in the desired wavelength is a promising parameter in order to achieve almost uniformly exposure throughout the thickness of the resist, avoiding in this way the formation of T-shaped structures. In Fig. 2 the dissolution properties of unirradiated films with thickness *2.2 lm are illustrated. Results obtained in this thickness can be scaled to larger thicknesses, since the TADEP resist is negative and only the unirradiated areas are dissolved during the development step. In all cases, except for the UVI, the dissolution proceeds smoothly without any inhibition periods. This controlled dissolution, totally different from the SU-8 case, is a pre-requirement for the stripping capability. In particular, it appears that the polymer blend (without PAG) dissolves rather fast (*42 nm/s) while most of the PAGs studied proved to decelerate the dissolution process most probably due to their hydrophobic nature. In particular the dissolution rate was *6 nm/s for the TPS-Antimonate 5%, *13 nm/s for the TPS-Antimonate 2% and *10.5 nm/s for the PAGS Fig. 2 Effect of PAG on the dissolution rate. The more hydrophobic PAG cause a reduced dissolution rate while the hydrophilic o-cs triflate leads to an enhanced dissolution rate blend consisting of TPS-Antimonate 3.4% and o-cs Triflate 1.1%. Only when pure o-cs Triflate is added as PAG the dissolution rate increases, and for the 3% w/w PAG relative concentration becomes *58 nm/s. Therefore a dissolution rate enhancement of 38% over the dissolution rate of the polymer blend without PAG is obtained. For that reason o-cs Triflate is the most suitable PAG for the TADEP resist formulation. For the resist thicknesses used in the HAR applications, i.e., several tens of microns, the resist film is post apply baked for a long time after the spin coating. The PAB conditions have been proved (Zhang et al. 1999) critical for the film quality, and significant effort has been applied for the optimization of this processing step and in particular for the SU-8. In Fig. 3 the solvent evaporation during the PAB in the case of a *11 lm thick TADEP film is illustrated. The PAB starts from room temperature and reaches the desired temperature, optimized in previous studies (Rajta et al. 2005), after *6 min. The solvent evaporation could be divided in three phases. In the first phase, the heating-up period, the solvent evaporates very fast, and then the evaporation rate decreases significantly to a mean value of *35 nm/min for the second phase (6 30 min). In the last phase (30 240 min) the evaporation rate decreases further reaching a mean rate of *2 nm/min. The above evaporation rates were calculated by assuming a refractive index of the resist film 1.56 for the wavelength, 650 nm, used in the SWI. This result shows that at this film thickness the PAB time should be at least 60 min for that PAB temperature. Fig. 1 Absorbance spectra in the lithographically useful range (300 400 nm) for the examined PAGs. The PAG percentages were calculated in order to have equal number of PAG molecules in the resist solutions 3.2 Optical lithography Based on the previous studies it was possible to optimize the PAG and the processing conditions of the TADEP

1426 Microsyst Technol (2008) 14:1423 1428 Fig. 3 In situ monitoring of the PAB processing step. Only a very limited amount of casting solvent evaporates after 60 min for a final film thickness of *10 lm formulation. The processing conditions for the I-line lithography are listed in Table 1. Further improvement of the sensitivity could be achieved through increase of PAG concentration in the resist s solution. Three optical lithography exposure strategies were explored: (a) exposure at 310 nm, (b) broad-band exposure from the mask aligner and (c) exposure through a Table 1 The processing conditions for UV lithography and PBW Process step UV lithography PBW Resist coat PAB Exposure PEB 3,000 rpm for 30 s (film thickness *11 lm) 95 C for 60 min and subsequent cooling at a slow rate 365 nm (1,100 mj/cm 2 ) through a broad I-line filter 110 C for 6 min on a hotplate and subsequent cooling Development AZ726 MIF at 22 C for 8 min Rinsing DI water for 30 s, then gently air dry Stripping Acetone in ultrasonic bath for 10 min 800 rpm for 30 s (film thickness *20 lm) 95 C for 120 min and subsequent cooling at a slow rate 2 MeV protons, beam currents 5 60 pa, 2 3 lm spot size, 1,000 lm scan size, 300 nc/mm 2 fluence 110 C for 8 min on a hotplate and subsequent cooling AZ726 MIF at 22 C for 25 min DI water for 30 s, then gently air dry Acetone in ultrasonic bath for 30 min broad-band pass I-line filter. Resist structures resolved with the first approach had the poorest resolution and thus aspect ratio. The broad-band exposure (without filter) gave good results, however the optimum lithographic performance, in terms of resolution and aspect ratio, were achieved by the exposure through the band pass 365 nm filter. In Fig. 4, typical results (optical microscope images) from optical lithography on the TADEP resist spin coated on a 3 in. Si wafer covered with an electroplating base of 5 nm Cr/100 nm Au deposited by sputtering, are shown. By applying the process described in Table 1 it was possible to resolve 10 mm long lines with 2.0 lm (1:5 line:space distance) and 1.5 lm linewidth (1:2 line: space distance) while the film thickness was *11 lm thus achieving an aspect ratio of 7. Similar results were obtained for Si substrate also. Further increase of the aspect ratio could be achieved by the use of an exposure tool with narrower exposure spectrum. 3.3 Electroplating The samples with the electroplating base (2 9 2cm 2 ) after the development and rinsing are placed in the electroplating bath, described in the Sect. 2, for a period of 48 min giving a metal thickness of 9 lm (the thickness of the resist structures is *11 lm). After the electroplating the samples are placed in acetone in an ultrasonic bath for the stripping of the resist structures. In Fig. 5 top down SEM images from the metallic structures after the electroplating and resist stripping are shown. In Fig. 5a the trench, produced due to the stripping of the resist structure, has 1.5 lm width and in Fig. 5b the trench has 2.0 lm width. From those two figures, it is clear that the resist structures are totally removed, despite the fact the width of the polymer structures was very small compared to the width of the complementary metallic ones. 3.4 Proton beam writing Single pixel pillars and lines of one pixel width were exposed by PBW in order to optimize the processing conditions and examine the aspect ratio of the resulted pillars. The processing conditions for the PBW are listed in Table 1. In Fig. 6 typical SEM images of these exposures are shown. The achieved smallest diameter for the pillars, in a 20 lm thick resist film, was 2.5 lm corresponds to aspect ratio of 8. A series of lines show some uneven wall thickness due to beam intensity instabilities, and can be reduced with a more stable proton beam. The simulation of the energy deposition vs. depth for the PBW

Microsyst Technol (2008) 14:1423 1428 1427 Fig. 4 Optical microscope images of the resist patterns prepared by I-line lithography. Very long lines (10 mm) with 1.5 lm width resolved Fig. 5 SEM images of metal patterns (complementary to the resist layout shown in Fig. 4) after Ni electroplating and liftoff in acetone in ultrasonic bath Fig. 6 SEM images of the resist features produced by PBW samples is illustrated in Fig. 7. In the first 20 lm of the ion path (resist layer) the energy deposition is nearly constant resulting in smooth vertical walls shown in Fig. 6. The rest of the ion energy is deposited in the substrate. All the structures mentioned above are fully developed. Preliminary studies shown that in the PBW case, it is possible to bypass the PEB step and resolve the layout at the expense of a higher exposure dose. The achieved aspect ratio is most probably limited by the rather large beam diameter used.

1428 Microsyst Technol (2008) 14:1423 1428 Acknowledgments The work was financially supported by the PB.NANOCOMP project (funded by GSRT contract number 05- NONEU-467). Authors would like to thank Dr. T. Ioannidis (Xenon company) for his help with the electroplating set-up. I. Rajta is grateful to the Hungarian National Research Foundation OTKA (Grant No. F042474) and EU Co-funded Economic Competitiveness Operative Program (GVOP-3.2.1.-2004-04-0402/3.0). References Fig. 7 Simulation of the energy deposition vs. depth in the sample. The sample was considered 20 lm resist on bulk Si wafer. The energy deposition has been integrated over the X-Y plane 4 Conclusions A variety of different photo resists, both positive and negative tone, are used for fabrication of polymer and their complementary metal high aspect ratio structures. Among them SU-8, a chemically amplified negative tone photoresist based on epoxy polymer is the mostly used. However, after processing the finished resist pattern (SU-8) is hardly removed from the substrate. In the present work the formulation and process optimization of a novel negative tone chemically amplified photoresist (TADEP) is presented. TADEP resist consists of epoxy (EP), partially hydrogenated poly(hydroxystyrene) (PHS) components and 1-(4-hydroxy-3- methylphenyl) tetrahydrothiophenium triflate as PAG. In particular the component relative concentration and the PAG molecule have been optimized towards the optimum absorption in the exposure spectrum as also the dissolution rate of the uncrosslinked regions. In addition the PAB step has been studied towards the optimum conditions in terms of resist adhesion. The TADEP resist owns two significant advantages over the SU-8: the dissolution of the uncrosslinked areas in IC standard aqueous developers and the easy stripping in acetone by the assistance of ultrasonic bath. By using the TADEP resist 1.5 lm wide very long lines were printed, by UV lithography, in an 11 lm thick film, providing an aspect ratio of 7. These structures were transferred, by electroplating followed by resist stripping, into thick Ni film. In addition first experiments with PBW showed an aspect ratio of 8, most probably limited by the rather large beam diameter used. Ansari K, van Kan JA, Bettiol AA, Watt F (2004) Fabrication of high aspect ratio 100 nm metallic stamps for nanoimprint lithography using proton beam writing. Appl Phys Lett 85:476 478 Chan-Park MB, Zhang J, Yan Y, Yue CY (2004) Fabrication of large SU-8 mold with high aspect ratio microchannels by UV exposure dose reduction. Sens Actuators B 101:175 182 Chatzichristidi M, Raptis I, Diakoumakos CD, Glezos N, Argitis P, Sanopoulou M (2002a) Strippable aqueous base developable negative photoresist for high aspect ratio micromachining. Microelectron Eng 61 62:729 735 Chatzichristidi M, Raptis I, Argitis P, Everett J (2002b) Partially hydrogenated poly(vinyl phenol) based photoresist for near UV, high aspect ratio micromachining. J Vac Sci Technol B 20:2968 2972 Flack WW, Kulas S, Minsek D (2000) Process characterization of an ultra-thick strippable photoresist using a broadband stepper. Proc SPIE 3999:478 489 Kokkinis A, Valamontes ES, Raptis I (2005) Dissolution properties of ultrathin photoresist films for the fabrication of nanostructures. J Phys Conf Ser 10:401 404 Lee KY, LaBianca N, Rishton SA, Zolgharnain S, Gelorme JD, Shaw J, Chang THP (1995) Micromachining Applications of a High Resolution Ultrathick Photoresist. J Vac Sci Technol B 13:3012 3016 Liu G, Tian Y, Kan Y (2005) Fabrication of high-aspect-ratio microstructures using SU8 photoresist. Microsyst Technol 11:343 346 doi:10.1007/s00542-004-0452-x Mappes T, Achenbach S, Mohr J (2007) X-ray lithography for devices with high aspect ratio polymer submicron structures. Microelectron Eng 84:5 9 Rai-Choudhury P (ed) (1997) Handbook of microlithography, micromachining and microfabrication. SPIE Press, Washington Rajta I, Borbély-Kiss I, Mórik Gy, Bartha L, Koltay E, Kiss ÁZ (1996) The new ATOMKI scanning proton microprobe. Nucl Instrum Meth B 109:148 153 Rajta I, Baradács E, Chatzichristidi M, Valamontes ES, Uzonyi I, Raptis I (2005) Proton beam micromachining on strippable aqueous base developable negative resist. Nucl Instrum Meth B 231:423 427 Raptis I (2001) Resist lithographic performance enhancement based on solvent removal measurements by optical interferometry. Jpn J Appl Phys 40:5310 5311 Rose I, Whittington C (eds) (2002) Nickel plating handbook. OM Group, Espoo, Finland Schirmer M, Perseke D, Zena E, Schondelmaier D, Rudolph I, Loechel B (2007) A new removable resist for high aspect ratio applications. Microsyst Technol 13:335 338. doi:10.1007/ s00542-006-0189-9 Tian S, Liu G, Tian Y, Zhang P, Zhang X (2005) Simulation of deep UV lithography with SU-8 resist by using 365 nm light source. Microsyst Technol 11:265 270. doi:10.1007/s00542-004-0405-4 Watt F, Breese MBH, Bettiol AA, van Kan JA (2007) Proton beam writing. Mater Today 10:20 29 Zhang J, Tan KL, Yang LJ, Gong HQ, Hong GD, Wang XD, Chen LQ (1999) Taguchi optimization for SU-8 photoresist and its applications in microfluidic systems. Proc SPIE 3899:440 447