Electron-beam SAFIER process and its application for magnetic thin-film heads

Similar documents
Sensors and Metrology. Outline

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Robust shadow-mask evaporation via lithographically controlled undercut

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Nanostructures Fabrication Methods

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

High Optical Density Photomasks For Large Exposure Applications

EUV Resist-Fundamental Research

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

ORION NanoFab: An Overview of Applications. White Paper

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Development of Lift-off Photoresists with Unique Bottom Profile

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Direct write electron beam patterning of DNA complex thin films

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

IC Fabrication Technology

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

MSN551 LITHOGRAPHY II

Introduction to Electron Beam Lithography

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Next: 193nm Lithography

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

High fidelity blazed grating replication using nanoimprint lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Introduction. Photoresist : Type: Structure:

Tilted ion implantation as a cost-efficient sublithographic

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Two-dimensional Bragg grating lasers defined by electron-beam lithography

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

DUV Positive Photoresists

Sensors and Metrology

Techniken der Oberflächenphysik (Techniques of Surface Physics)

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Additive technologies for the patterning of fine metal tracks onto flexible substrates

Thin Wafer Handling Challenges and Emerging Solutions

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Overview of the main nano-lithography techniques

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias

There's Plenty of Room at the Bottom

Copper damascene electrodeposition and additives

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Lecture 8. Photoresists and Non-optical Lithography

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Direct-Write Deposition Utilizing a Focused Electron Beam

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Supporting Information

Neutron Reflectometry of Ferromagnetic Arrays

MICRO AND NANOPROCESSING TECHNOLOGIES

Critical Dimension Uniformity using Reticle Inspection Tool

Quasi-periodic nanostructures grown by oblique angle deposition

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Nanoscale Issues in Materials & Manufacturing

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

STSM scientific report

Chao Wang a and Stephen Y. Chou b Department of Electrical Engineering, NanoStructure Laboratory, Princeton University, New Jersey 08544

Characterization of Optical Proximity Correction Features

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Photolithography II ( Part 1 )

Roughness characterization in positive and negative resists

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Introduction to Photolithography

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Surface roughness development during photoresist dissolution

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Measurement of the role of secondary electrons in EUV resist exposures

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Available online at Physics Procedia 32 (2012 )

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

100 nm period gratings produced by lithographically induced self-construction

Crystalline Surfaces for Laser Metrology

Pattern Transfer- photolithography

Nanolithography Techniques

ETCHING Chapter 10. Mask. Photoresist

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Accurate detection of interface between SiO 2 film and Si substrate

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Transcription:

Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh, Pennsylvania 15222 (Received 2 June 2004; accepted 21 September 2004; published 13 December 2004) We have coupled the SAFIER (shrink assist film for enhanced resolution) process with electron-beam lithography for the fabrication of the write top pole structures for thin-film heads. The SAFIER process is designed to physically shrink trench patterns and contact holes with very little deterioration of the resist profile. In this article, we will present the experimental results of the SAFIER process for the fabrication of the write top pole. We investigate the SAFIER process concerning several key processing issues, including shrink resolution capability, repetition of the SAFIER process, shrink-sensitive baking conditions, resist sidewall profile, and line edge roughness (LER) after shrinking of the trench. The experimental results show that this process not only shrinks the size of resist trenches and contact holes, but also improves LER and critical dimension variation. We demonstrate the capability of printing top pole structures with pole widths of sub-20 nm in a 0.30- m-thick resist (aspect ratio 15:1), and electroplated top pole structures of 50 nm in a 0.50- m-thick resist (aspect ratio=10:1). 2004 American Vacuum Society. [DOI: 10.1116/1.1815309] I. INTRODUCTION The areal density in the data storage industry has sustained annual increases as high as 100% over the last five years. 1,2 To elevate areal density to 1 Terabit/in. 2 and beyond we need to shrink the critical features of recording devices, e.g., writer top poles and read sensors, down to the 30 nm regime. One of the processing challenges is to fabricate these 30 nm features with high aspect ratio (AR). To achieve this we previously developed various high-ar narrow trench processes using electron-beam lithography. 3,4 In this article, we report an approach using a size shrinkage method to reduce the trench critical dimension (CD) with a high AR. Size shrinkage technologies have recently attracted much attention due to the difficulty in pushing small CDs and the extremely high cost of tools. Size shrinkage technologies achieve next-generation level pattern sizes by combining various additional processes with conventional lithography. There are typically two main shrinkage techniques that people currently use in lithography. One is the resist thermal flow process, which is performed by high-temperature heat treatments, 5 and the other is the chemical shrink process in which a mixing layer is formed by utilizing the crosslinking reaction catalyzed by the acid component existing in a predefined resist pattern. 6 Very recently, Tokyo Ohka Kogyo (TOK) Co., Ltd. introduced a size shrinkage process called SAFIER (shrink assist film for enhanced resolution). 7 SAFIER is an extraordinary process that enables aggressive CD targets for KrF, ArF, electron-beam contact holes, and trench features. In this article, we present the experimental results of the electron-beam SAFIER process for write top pole structures. We investigate the SAFIER process a) Electronic mail: xiaomin.yang@seagate.com concerning several key processing issues, including shrink resolution capability, repetition of the SAFIER process, shrink-sensitive baking conditions, resist sidewall profile, and line edge roughness (LER) of the trench after shrink. The experimental results show that this process not only shrinks the size of resist trenches and contact holes, but also improves LER and CD variation. We demonstrate the capability of printing top pole structures with pole widths of sub- 20 nm in a 0.30- m-thick resist AR 15:1, and electroplated top pole structures of 50 nm in a 0.50- m-thick resist AR=10:1. II. EXPERIMENTAL PROCEDURE UV113, a chemically amplified resist from Shipley Corporation, was used with 6 in. round silicon wafers for the evaluation of the SAFIER process. Exposure was performed using a Leica VB6 direct write vector beam lithography system operating at 50 kev with an 800 m field and 12.5 nm grid size. The exposed wafer was post-exposure baked at 130 C for 60 s, and developed using standard 0.263 N tetra methyl ammonium hydroxide developer (Shipley LDD-26W) in a single puddle process on an FSI 2000 cluster tool. Top-coating material FSC-8000GM (200 300 nm thick) was purchased from TOK for the SAFIER process. Electrodeposition was implemented using a standard Permalloy plating bath with a pulse plating process. Atomic force microscopy (AFM) measurements were performed in tapping mode with a Dimension 2500 system (Veeco Digital Instruments). Scanning electron microscopy (SEM) characterization was conducted using a dual-beam focused ion beam (FIB)/SEM from FEI Corporation. Automatic top-down CD measurements were taken with the VeraSEM three-dimensional (3D) system (Applied Materials, Inc). 3339 J. Vac. Sci. Technol. B 22(6), Nov/Dec 2004 0734-211X/2004/22(6)/3339/5/$19.00 2004 American Vacuum Society 3339

3340 Yang et al.: Electron-beam SAFIER process 3340 FIG. 1. SAFIER process flow. FIG. 3. Top pole trench in a 0.30- m-thick UV113 resist with SAFIER processing at 120 C for 90 s. (a) CDs vs dose, and (b) CDs vs shrink ratio. III. RESULTS AND DISCUSSION SAFIER process is a post-development treatment designed to shrink trench or contact hole patterns based on the thermal flow of photoresist with the use of special topcoating materials. Figure 1 shows the process flow. Up to process flow 2, standard resist patterning is done, followed by the top-coating of FSC-8000GM material in process flow 3 with a film thickness of 200 300 nm. Process flow 4 shows the baking process with temperatures at 100 160 C for 60 90 s, and rinsing with deionized water. Figure 2 FIG. 2. SAFIER shrink mechanism. shows the shrink mechanisum. 7 There are positively and negatively charged functional groups in the top-coating material of FSC-8000GM. The positively and negatively charged functional groups can interact with each other indirectly through the easily polarized medium H 2 O in this case. The following bake process evaporates the H 2 O out of the top-coating material that allows the partially positively and negatively charged functional groups to have direct interactions. Such direct interactions make the top coating materials hold the resist profile during the resist thermal expands. This process allows us to keep the resist sidewall vertical in a predefined pattern while shrinking the resist gap. One of the advantages of the SAFIER process is the flexibility to do multiple shrinkages. Multiple shrinks can be repeated on the same resist feature until the targeted dimension, e.g., trench width, is achieved without inducing much resist deterioration. The repetition evaluation experiments were performed with two different processing paths. The first path is to coat the post-developed wafer with the top-coating material, and then repeat the bake-chill processing cycle for multiple shrinks. After running this cycle multiple times, the wafer was finally rinsed with deionized water. The second path is to use coat-bake-chill-rinse as one singleprocess cycle, and then repeat this cycle multiple times. The experimental results show that there are no significant effects in improving resolution from the first path, but we did see a significant decrease in trench CD from the second path. Figure 3(a) shows the CD data of top pole trenches as a function of exposure dose before and after multiple shrinks. The CDs clearly decreased as the shrink cycles increased. The CD J. Vac. Sci. Technol. B, Vol. 22, No. 6, Nov/Dec 2004

3341 Yang et al.: Electron-beam SAFIER process 3341 FIG. 4. SEM images of top pole trench in a 0.30- m-thick UV113 resist before and after SAFIER processing (bake at 120 C for 90 s) with multiple shrink cycle times. FIG. 5. 3-sigma of trench LER and CD variation vs shrink cycle times in a 0.30- m-thick UV113 resist with SAFIER processing at 120 C for 90 s. FIG. 6. AFM images of 0.30- m-thick resist surfaces: (a) standard process without SAFIER, (b) standard process with thermal flow treatment at 120 C for 90 s, (c) standard process with one SAFIER shrink cycle, (d) standard process with two SAFIER shrink cycles, and (e) standard process with three SAFIER shrink cycles. curve became flat after 3 shrinkage cycles, indicating that all trench CDs were shrunk down to a 20 nm region in a 0.30 m resist. Based on the amount of CD shrinkage, we were able to establish the shrink ratio CD/CD as a function of CD, as shown in Fig. 3 (b). These data indicated that the shrink ratio is trench size dependent. As a result of the shrink process, Fig. 4 shows a series of SEM images of top pole trenches after CD shrink with multiple-shrink cycle times. All trench CDs regardless of initial size were shrunk down to a 20 nm region, depending on how many shrink cycles were performed. High-resolution SEM images (not shown here) also show that the bottom of the trenches were cleared of resist residue since we used the CD shrinkage approach that allows us to initially use a higher dose to clear the trenches first and then decrease CD. Small amounts of resist residue are commonly seen in a high-ar narrow trench, which typically is difficult to completely remove for several reasons. 4 To understand CD variation and LER using the SAFIER process, we did systematic measurements. The results are shown in Fig. 5. Both SEM images and CD measurements show that the SAFIER process can actually smooth the LER of the trench. This is extremely useful because LER degrades the lithographic resolution and linewidth accuracy for small CDs. We see that the CD variation and LER 3-sigma numbers significantly decrease after the first two shrink cycles, but stays flat or goes back up a little after the second shrink. This is because all the features were already shrunk down to the very narrow region at the length scale of sub-20 nm, in which measurement noise started to come from the CD measurements. We also took AFM images to see how the surface morphology changes with different processing treatments. Figure 6 shows the AFM images, and the results indicate that the SAFIER process smoothes the surface of the resist. Figure 6(a) was the surface of a 0.30- m-thick UV113 resist after standard processing without SAFIER processing. It shows surface roughness of 1.75 nm in root-mean-square rms. Figure 6 b is the surface of a 0.30- m-thick UV113 resist after standard processing and then a thermal flow bake, but without applying SAFIER coating material. We noted that Fig. 6 b shows a very similar structure and surface roughness of 1.81 nm as was seen in Fig. 6 a. However, we observed a totally different feature on the resist surface after SAFIER processing, as shown in Figs. 6 c 6 e. Figures 6 c 6 e show three images of resist surfaces after SAFIER processing with shrink repetition cycles of 1 to 3 times, and their surface roughness of 0.785, 0.481, and 0.483 nm, respectively. All three of these images showed much smoother surfaces compared with those of Figs. 6 a and 6 b. This observation suggests that the top-coating material does smooth the size of polymer resist aggregates JVST B-Microelectronics and Nanometer Structures

3342 Yang et al.: Electron-beam SAFIER process 3342 FIG. 9. Top pole trench CDs vs AR in UV113 resist with SAFIER process. Another interesting aspect about the SAFIER process is how the resist profile of the narrow trench changes after FIG. 7. Cross-sectional SEM images of trench in a 0.60- m-thick UV113 resist before and after SAFIER processing (bake at 120 C for 90 s) with multiple shrink cycle times. during the bake-and-develop processing. We also noticed that there was not much change in surface roughness after the second shrink. FIG. 8. Top pole trench in a 0.30- m-thick UV113 resist with SAFIER. (a) CDs vs bake temperature ( C) and (b) CDs vs bake time (s). FIG. 10. (a) SEM image and (b) FIB cross-sectional SEM image of top pole structures plated with 2.4 T CoFe. Pole CD is 50 nm with an AR of 10:1. J. Vac. Sci. Technol. B, Vol. 22, No. 6, Nov/Dec 2004

3343 Yang et al.: Electron-beam SAFIER process 3343 shrink. Figure 7 shows cross-sectional SEM images of 0.60- m-thick resist trenches after SAFIER processing with multiple shrinks of 1 to 3 times. Figure 7 a is the resist profile of the initial trench before shrink, and Figs. 7 b 7 d are the trenches after being shrunk 1 to 3 times, respectively. All images show that the sidewalls remain vertical even after the third shrink cycle. However, the top of the resist becomes more rounded with the increased number of shrink cycles. We did CD shrink-sensitivity experiments regarding process conditions, such as bake temperatures and bake times. We found that adjusting the bake temperature was one of the most critical parameters that affected how much the trench shrunk. Figure 8(a) shows how the bake temperature affected CD shrinkage. As bake temperature increases, the CDs decrease accordingly at a rate of 0.4 nm/ C. Figure 8(b) shows how the bake time affected CDs. The results show that CD shrinkage is not sensitive to different bake times (only 0.7 nm/ min) at a bake temperature of 125 C. Figure 9 shows pole trench CDs as a function of resist thickness by means of electron-beam SAFIER process. We were able to print sub-20 nm top pole structures in a 0.30- m-thick resist AR= 15:1, and electroplated top pole structures of 50 nm in a 0.50- m-thick resist (AR=10:1, shown in Fig. 10). IV. CONCLUSION We have coupled the SAFIER process to the top pole process for the purpose of fabricating thin-film heads. The experimental results show that the SAFIER process not only shrinks the size of the trench, but also improves the LER of the trench, especially for narrow trenches. Therefore, the across-wafer CD variation of the trenches decrease after shrink. With our electron-beam SAFIER process, we demonstrated the capability of printing top pole structures with pole widths of sub-20 nm in a 0.30- m-thick resist AR 15:1, and electroplated top pole structures of 50 nm in a 0.50- m-thick resist AR=10:1. Ongoing work consists of the evaluation of wafer-to-wafer CD variation and overlay alignment accuracy of critical features with electron-beam SAFIER process. ACKNOWLEGMENTS The authors would like to thank Nicholas Katchur and Katsumi Omori of TOK OHKA America for their sample supply and very helpful discussions. 1 R. Fontana, S. MacDonald, H. Santini, and C. Tsang, IEEE Trans. Magn. 35, 806 (1999). 2 R. Fontana, J. Katine, M. Rooks, R. Viswanathan, J. Lille, S. MacDonald, E. Kratschmer, C. Tsang, S. Nguyen, N. Robertson, and P. Kasiraj, IEEE Trans. Magn. 38, 95(2002). 3 A. Eckert, R. Bojko, H. Gentile, R. Harris, J. Jayashankar, K. Minor, K. Mountfield, C. Seiler, X-M. Yang, and E. Johns, Proc. SPIE 4688, 878 (2002). 4 X-M. Yang, A. Eckert, K. Mountfield, H. Gentile, C. Seiler, S. Brankovic, and E. Johns, J. Vac. Sci. Technol. B 21, 3017(2003). 5 Y. Kang, Proc. SPIE 4335, 222 (2001). 6 T. Kanda, H. Tanaka, Y. Kinoshita, N. Watase, R. Eakin, T. Ishibashi, T. Toyoshima, N. Yasuda, and M. Tanaka, Proc. SPIE 3999, 881(2000). 7 Y. Sugeta, The 23rd Annual Tokyo Ohka Seminar, Tokyo, Japan, 3 December 2002. JVST B-Microelectronics and Nanometer Structures