Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Similar documents
Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Critical Dimension Uniformity using Reticle Inspection Tool

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Single Pass Die to Database Tritone Reticle Inspection Capability

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Chromeless Phase Lithography (CPL)

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Characterization of Optical Proximity Correction Features

Impact of Pellicle on Overlay in Double Patterning Lithography

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Mask Characterization for Double Patterning Lithography

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Your worst Nightmare Inspection of aggressive OPC on 14 nm masks with emphasis on defect sensitivity and wafer defect print predictability

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actinic review of EUV masks: First results from the AIMS EUV system integration

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Sensors and Metrology. Outline

Litho scenario solutions for FinFET SRAM 22nm node

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

High Optical Density Photomasks For Large Exposure Applications

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

EUVL Readiness for High Volume Manufacturing

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

We published the text from the next page.

EUV Lithography Towards Industrialization

Photomask. Your worst Nightmare Inspection of aggressive OPC on 14nm masks with emphasis on defect sensitivity and wafer defect print predictability

Automatic hotspot classification using pattern-based clustering

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

The MEEF Shall Inherit the Earth

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Influence of mask surface processing on CD-SEM imaging. Phone: FAX:

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

IEUVI Mask Technical Working Group

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

custom reticle solutions

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

Sensors and Metrology

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Cost of Ownership Considerations for Maskless Lithography

Model 2300XP PSL & Process-Particle Wafer Deposition System

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

RS-C Flexible Reticle Stocker

EUV Lithography Status and Key Challenges for HVM Implementation

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Visual Test Light Scattering Reticle. Users Guide

193 nm STEP AND SCAN LITHOGRAPHY

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Accurate and Reliable Optical CD of MuGFET down to 10nm

Temporal analysis for implicit compensation of local variations of emission coefficient applied for laser induced crack checking

EUVL for HVM: Progress Update

Fall 2003 EE290H Tentative Weekly Schedule

Detecting Cosmic Rays in Infrared Data

Development status of back-end process for UV-NIL template fabrication

Application of Micro-Flow Imaging (MFI TM ) to The Analysis of Particles in Parenteral Fluids. October 2006 Ottawa, Canada

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Optimal resolutions for optical and NIR spectroscopy S. Villanueva Jr.* a, D.L. DePoy a, J. L. Marshall a

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

ACS after SM4: RELATIVE GAIN VALUES AMONG THE FOUR WFC AMPLIFIERS

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

RS 1700/1900/2300/2900 High Density Reticle Stockers

GS Analysis of Microarray Data

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

UV2Litho Usable Vacuum Ultra Violet Lithography

EUV lithography industrialization for HVM

GS Analysis of Microarray Data

Rapid and precise monitor of reticle haze

Measuring Colocalization within Fluorescence Microscopy Images

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Tips & Tricks GPC/SEC: Quantify and Get More Than Molar Mass Averages

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Developments & Limitations in GSR Analysis

GCA AS200 Job Preparation

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

CUSTOM RETICLE SOLUTIONS

Analysis of carbon contamination on EUV mask using CSM/ ICS

Transcription:

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann # AMD, 1 AMD Place, Sunnyvale, CA 94088-343, USA * AMD Fab 36 LLC & Co. KG, Wilschdorfer Landstrasse 101, 01109 Dresden, Germany # AMTC GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT This paper studies the impact of shape and local environment (pattern layout) on the ability to detect defects on the reticle and the extent to which they affect the dimension of the printed image on the wafer. The authors have made extensive use of design information to perform a thorough evaluation. OPC software was used to generate mask data that was comparable to product mask data. Defects were placed on the post-opc layout and OPC software was also used to simulate the dimension of the defective features as printed on the wafer. Design Based Metrology was used to create accurate metrology recipes to support wafer and mask metrology. Ultimately the procedures described in this paper allow a direct correlation to be made between reticle inspectability and the impact of the same defects on wafer CD. Data is presented for the case of the Contact Hole layer of a 6nm Logic technology, though the methods described in the paper are applicable to all layers. Keywords: Defect Inspection, Defect Printability, CAD, OPC, Design Based Metrology 1.0 Introduction The 2006 ITRS Roadmap defines a Mask Defect as follows: A Mask Defect is any unintended mask anomaly that prints or changes a printed image size on the wafer by 10% or more. The Mask Defect size listed in the roadmap is the square root of the area on the mask of the smallest opaque or clear defect that is expected to print for the stated generation. This definition attempts to reduce all possible defect types and environments to a single metric. In a linear printing regime, where the mask feature prints with no bias on the wafer this metric may be appropriate. However, current patterning processes show significant variation in dense/iso bias, Depth of Focus (DOF) and Linearity (MEEF) from layer to layer and from layout to layout. In this situation, a one size fits all definition will lead to over-specifying the mask defect control, leading to lower yield and higher mask costs. Traditionally there have been two types of inspection test masks. On one hand we have those that have defects placed on ideal layouts (iso/dense, no-opc) and on a specific grid and are used quantify the defect capture rate of the inspection tool at some specific settings, e.g. Verimask 1. On the other hand we have masks that are either product or product-like layouts which are used to test the runability of the inspection tool (i.e. the rate of false defect identification) at the same settings (mask name) e.g. UIS 2. In this paper we describe a methodology for designing a test mask that can serve both purposes. Additionally, this mask design allows us to assess the impact of the shape and local environment (pattern layout) on the ability to detect defects on the reticle and the extent to which they affect the dimension of the printed image on the wafer. We show the example of a contact level mask that is built from layout clips from real devices and with proper OPC treatment for the technology node(s) used to pattern the layout. We place programmed defects at specific locations within the post-opc layout. Using these defect co-ordinates we are able to perform extensive simulated printability studies using calibrated OPC models. From these simulations, and an understanding of the resolution limits of the mask making process, we are able to select an appropriate range of defect sizes to test both mask inspectability and wafer printability. Furthermore, we

are able to create SEM recipes from these co-ordinates to support mask and wafer metrology to validate the printability of the reticle defects on the wafer. 2.0 Mask Design and Layout The basic building block of the mask is a layout clip (approximately 100 x 100 m) that contains one defect. The layout clip has been run through the OPC recipe appropriate for the layer and technology. This ensures that all features have the correct bias to support wafer print studies. In addition, other OPC artefacts such as edge fragmentation and Sub Resolution Assist Features (SRAFs), which may impact reticle inspection sensitivity settings are included. The layout clip contains a variety of environments collected from different areas of product chips. These layouts include standard cells, SRAM cells and layouts with poor printability (as defined by image quality e.g. high MEEF or low image slope). Layouts and appropriate RET and OPC treatments were selected for the contact layer for both 6nm and 4nm nodes in this study. The mask blank used was a 193nm Based on the ITRS definition that defect area is the important metric, scripts were used to automatically generate defects of different shapes but with equal area. Some of the defect types are shown in table 1. For each defect location, 14 types of defects were generated as defined in the table below. The first eight defect representing classical particle/contamination defects and the latter 6 representing imaging e.g. dose errors, pattern placement Class Image Category 1x1 bump/divot Contamination 1x2 bump/divot Contamination 1x4 bump/divot Contamination Isolated dot (Clear/dark) Contamination Edge grow/shrink Contamination /Tool error CD Error Tool error Contact Shift X/Y Group Shift Tool error Tool error Table 1: Defect classes, images and categories For the particle and edge error defects, the sizes were chosen such that the area of the defects were the same, regardless of shape, for each defect count. To determine the correct range of defect sizes, simulation was performed on the mask layout using the same process models used to create the OPC and CDs were measured at the defect locations. Iterating on the defect generation and simulation we determined a range of defect sizes that would result in ~ ±20% CD variation

at the wafer for the 1x1 edge bump and divot defects. (Consequently, each defect counter corresponds to ~1% CD change). In the case of 6nm logic design rules and associated lithography, the 10% CD error corresponded to a 100nm square opaque defect and a 10nm square clear defect (reticle dimensions). The final mask layout is shown in Figure 1. The defect die is ~ 8.9 x 10.8 mm (wafer dimension) and is placed in a 3x2 array to fill the stepper field (reticle). The defect die contains ~10,000 defects and >10 8 contacts. The reticle supports die to database and die to die inspection. It also supports defect repair studies. Additionally, the non-defect die can be used for runability studies. Figure 1: Test Mask Layout 3.0 Simulation Results Simulation was performed with the OPC software. The site lists used to generate the defects in the mask design were used to define the locations for measurements on the simulated images. The models used were calibrated at nominal dose and focus. It would clearly be possible to extend the analysis to stud y the impact of defects on process window by including more models or alternatively simply looking at aerial image simulation. Analysis of the simulated CDs shows that the printability is a sensitive function of both defect type and environment. Figures 2a and 2b show the CD variation as a function of defect area for clear defects of various kinds on a contact in a semi-dense array (i.e. at contacted poly pitch). We observe that the sensitivity for clear edge defects (Figure 2a) is quite similar, but that isolated clear dots (a clear dot half way between two semi-dense contacts) have almost no impact on the CD of the adjacent contacts for this environment. For dark defects (Figure 2b) we see more sensitivity to defect shape. Specifically, for edge defects the higher aspect ratio defects (1x1) have more impact than the low aspect ration defects (edge move). Furthermore, the isolated dark spot (located in the middle of the contact) has even greater sensitivity.

Clear Defects "6nm" Semi Dense Contacts Dark Defects, 6nm semi-dense contact 4 0 0 0.000 0.001 0.001 0.002 CD error at Wafer (nm) 3 2 1 CD Error (nm) -10-20 -30-40 - 0 0.000 0.001 0.001 0.002 Defect Area (nm^2) 11_divot_1 12_divot_1 14_divot_1 dot_clear_1-0 Defect Area (um^2) 11_bump_1 12_bump_1 14_bump_1 dot_cr_1 Figure 2 a) Simulated wafer CD change for clear defect on a semi-dense contact, b) Simulated wafer CD change for dark defect on a semi-dense contact Simulations were performed on all defect environments and types. Figure 3 shows the sensitivity (defect printability) in terms of wafer CD change versus defect area for several defect types and environments. Considering any of the defect environments individually, we observe that there is a range of ~ 4x in the defect sensitivity (not including the nonprinting clear dot defects). The effect of defect environment leads to an additional range of sensitivity. Specifically we observe that the print sensitivity of clear dot and divot defect types are slightly enhanced in SRAM locations, and are greatly enhanced in the dense pitch layouts compared to semi-dense and iso random logic layouts. The clear dot defect in the dense array becomes the most sensitive type of defect, whereas in less dense environments we predict that it barely prints at all. Figure 3 Sensitivity (Wafer CD change/defect Area) for defects in multiple defect environments The simulations presented represent one specific layout, RET, OPC combination. By performing the simulations in the OPC environment, it is a fairly simple matter to adapt the set-up to study other layouts (e.g. poly or metal) and RET/OPC

conditions (SRAFs, different fragmentation styles, different optical parameters), especially if only aerial image is used for simulation. 4.0 Reticle Inspection Reticle inspection was performed on a KLA 00 series tool with a 90nm pixel size. Several detector settings were studied (tests 1-4) including Teraflux 3,4, 10 runs were made for each setting. The inspection algorithms targeted the 6nm test patterns. By analogy with the simulation study we investigated the sensitivity of inspection as a function of the designed defect area and as a function of defect environment. Figure 4 shows the 100% (i.e. 10 out of 10) capture rate for various defects in a semi-dense contact hole layout. The black line in figure 4 represent the defect counters corresponding to a 1 pixel defect (i.e. 90nm x 90nm area). capture rate 100% for Chip A3, ldb F1 Defect class Defect no. 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 cr_dot bump_11 bump_12 bump_14 minus_edge plus_grow_minus clear_dot divot_11 divot_12 divot_14 plus_edge plus_grow_plus Figure 4: Detectability versus Designed Defect Area for Semi Dense contact Test 1 Test 2 Test 3 Test 4 90 x 90nm Area Defect For defects that are on the feature edge (i.e. bump and divot), all 4 of the inspection algorithms are able to detect the 1 pixel defects regardless of aspect ratio. For the chrome and clear dot, the algorithms appear to be less capable (but this may be related to the ability to resolve these defects on the mask see ibid.). In the case of the contact sizing defects (plus_grow_plus and plus_grow_minus) we observe that the choice of inspection algorithm has a large impact on the detectability of these defects and that it is very important to have such defects on the reticle used to set-up the inspection settings. The data in figure 4 (and data from other environments) indicate that the reticle inspection system cannot detect all defects of the same area (regardless of shape). The more appropriate measure, however, is how well the inspection captures defects at an equal CD influence. Figure shows the same sensitivity plot as figure 4 but the reference marks now represent the defect size simulated to create >% CD error on the wafer. We observe that the gap between the detection and printability of the chrome dot is increased, but we also observe that the clear dot inspection is now much too sensitive, leading to unnecessary repairs or even rejecting reticles which have no defects that would print on the wafer.

Defect no. 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 cr_dot bump_11 bump_12 capture rate 100% for Chip A3, ldb F1 bump_14 minus_edge Defect class clear_dot plus_grow_minus divot_11 divot_12 divot_14 Figure : Detectability versus Simulated Wafer CD change for Semi-Dense Contact.0 Wafer Inspection and CD Measurement plus_edge plus_grow_plus Test 1 Test 2 Test 3 Test 4 simulated +/- % The simulated sensitivity thresholds in figures 4 and assume that the designed defects are perfectly reproduced on the reticle. Mask Defects were also measured. Design-based SEM recipe creation allows us to measure many locations. Figure 6 shows the actual mask CD for chrome dot defects, the resolution of the mask making process is not linear and some of the smaller defects are not present on the mask, or experience significant rounding. Consequently, the designed defect size is not a good metric for some of the smaller defects, in particular, the actual dimension of the minimum detected defect is likely smaller than that indicated by design. Figure 6: Mask Dimension of Chrome Dot defects Ultimately what is important is the ability to detect reticle defects that print on the wafer. Because the same OPC is used on the test mask as is used in production, it is possible to print the test mask on the wafer and expect all the environments to print on-size. Since the layout on the test mask is circuit-like and does not have large labels or pointers identifying defect sites. Instead we rely on design based metrology recipe creation 6 to create the SEM recipes to measure the wafer

dimensions of the defective sites. Using the sitelists that were created to generate the defects and to identify simulation sites, SEM recipes were created. To study the impact of reticle defect on wafer CDs the following metrology sampling plan was created: measure 9 fields across wafer for averaging, measure 20 defect sizes per type/environment, measure 12 defect types in 6 environments. This results in over 1400 locations and more than 13,000 CDs were measured. Recipe setup time was ~1 hr plus an additional 4hrs of testing, total SEM run time was approximately 72 hours and the success rates were over 9%. Simulation accuracy was quantified by comparing the simulated and measured CDs for the oversized/undersized contacts as these do not contain small, hard to resolve defects. Figure 7 shows the fit for defect size errors for both isolated and semi-dense contacts. The correlation is excellent. And indicated that deviations from the simulation predictions are due to differences between the design and reticle. Larges Smalles Figure 7: Correlation of Wafer CD to simulation and images of measurement sites A plot of Measured CD vs Defect Counter for the semi-dense contact array is shown in figure 8. Qualitatively it shows the same trends as predicted by simulation, e.g. chrome dot is most sensitive, clear dot hardly prints, we also observe that the 1x1 bump has more impact on wafer CD than the 1x2 bump also predicted by simulation. Additionally we see some subtle effects, for example, the chrome dots show no print impact for defects below counter 8 consistent with the inability to resolve these defects ion the mask. Since we have measured all the defect locations on the wafer we can identify the defect counter at which some specified CD tolerance is violated.

Figure 8: Wafer measurements showing the impact of Clear (a) and Dark (b) defects on CD for a semi-dense contact array Figure 9 shows the inspectability versus a +/- % CD variation spec. for CDs measured on the wafer for a semi dense contact array. The algorithms chosen appear to be just about right for detecting dark bump defects, too sensitive in detecting clear bump defects and not sensitive enough for sizing errors. capture rate 100% for Chip A3, ldb F1 Defect class Defect no. 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 cr_dot bump_11 bump_12 bump_14 minus_edge plus_grow_minus clear_dot divot_11 divot_12 divot_14 plus_edge plus_grow_plus Test 1 Test 2 Test 3 Test 4 Wafer CD Error +/- % Figure 9a: Semi-Dense Contact mask inspectability versus wafer printability Figure 9b shows the same plots but for the SRAM-Bar defect (see figure 3) for this environment, we see that the sensitivity settings seem to be just right for finding bump and divot defects, but that the oversized and particularly

undersized defects are not well captured. This demonstrates the difficulty of setting up an algorithm that will work for all defect types as well as defect environments. capture rate 100% for Chip A3, ldb D2 Defect class Defect no. 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 cr_dot bump_11 bump_12 bump_14 minus_edge plus_grow_minus clear_dot divot_11 divot_12 divot_14 plus_edge plus_grow_plus KLA-004P90 100-100 KLA-004P90 9-9 KLA-016P90 100-100 Litho2 100 KLA-016P90 100-100 Litho2 90 Figure 9b for Dense and Mid-SRAM-bar mask inspectability versus wafer printability Wafer CD Error +/- %.1 Wafer defect inspection For wafer defect inspections the mask has been printed onto a wafer by using best dose and best focus illumination conditions. The KLA-Tencor 23XX series tool was utilized to perform the die to die inspection on wafer. Different wavelengths, illumination conditions and pixel sizes were tested and the best ones in regards to sensitivity, S/N ratio and throughput have been used for further analysis. The generated high sensitivity recipes are close to the noise floor of the wafer, but eventually picked nuisance defects are randomly distributed across the wafer and a repeater analysis could filter them out. The so generated KLA file includes the information of defect location, size, defect type, etc. and could be compared by script to the original programmed defects. Figure 10a and 10b show the defects detected by wafer inspection grouped by defect type for threes different wafer inspection recipes. For the given mask design wafer inspection is beaten by mask inspection and detect ability always 2-3 defects below the mask inspection results. Furthermore wafer inspection is completely missing the x and y shift contacts. Earlier results 7 showed that the sensitivity for wafer inspection is similar or better for contact and via masks compared to mask inspection, but with the introduction of SRAM bars the sensitivity dropped.

Defect no. Defect no. 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 20 19 18 17 16 1 14 13 12 11 10 9 8 7 6 4 3 2 1 cr_dot Wafer Inspection capture rate 100% for Chip A3, ldb F1 bump_11 bump_12 bump_14 minus_edge clear_dot plus_grow_minus divot_11 divot_12 divot_14 plus_edge Figure 10a: Inspection sensitivity versus Wafer printability for semi dense (denso) array Wafer Inspection Capture rate 100% for Chip A3, ldb D2 cr_dot bump_11 bump_12 bump_14 minus_edge plus_grow_minus clear_dot divot_11 divot_12 divot_14 plus_edge plus_grow_plus plus_grow_plus Test2 Test6 Test7 Defect class Test2 Test6 Test7 Wafer SEM Printability (+/- %) Defect class Wafer SEM Printability (+/- %) Figure 10b: Wafer Inspection Results for Mid-SRAM-Bar defects vs printability

6.0 Summary and Conclusions We have presented a methodology for the correlation of reticle inspectability with the impact on the wafer. The key elements are: the use of real layout clips with real OPC treatments to ensure meaningful wafer print results, the normalization of defect sizes by area, the use of calibrated OPC models to define the relevant range of defect sizes, the use of layout co-ordinates to drive defect layout generation, defect printability simulation and wafer SEM measurement. The method has been demonstrated for a 6nm node contact layer, but is equally applicable to any design, any RET and any technology node. The key findings of this study are that defect printability is very sensitive to defect shape and polarity (especially for attenuated PSM). The impact of defect environment was also studied. The influence of environment on printability was less than that of defect shape (for the case of 6nm node contact layer), but this conclusion will be highly dependent on the k1-factor and MEEF of the process being studied as can be seen by the increased sensitivity to clear defects seen in the dense array. Simulation was shown to be accurate and is generally useful for designing test masks, additionally it provides a good initial indication of whether the inspection is performing well. However, due to lack of resolution in the mask process, we cannot assume that the mask dimension of a defect is the same as it s designed dimension and so it is ultimately necessary to compare the inspection with the actual wafer print data. Design-based metrology recipe creation enables the accurate measurement of defective features within real layout clips. The inspection algorithms studied do not map directly onto a single area metric, neither do they map to a single printed CD variation spec. Typically, defect spec.s provided to the mask shop define a minimum defect area and have no restrictions on layout (often the same spec is used for different critical layers in a mask set too!). As a consequence it is necessary to specify a defect size that will flag many non-printing defects. At 6nm logic technology this does not appear to be a problem as the mask process has sufficient headroom to obtain satisfactory yields. With ever decreasing k1- factor lithography it is likely that it will become harder to continue this approach. The consequence of this trend is that it will be necessary to detect or at least disposition reticle defects bases on wafer printability. Aerial image based defect repair disposition (using the AIMS tool 8 from Zeiss) has been used in the mask shop for many years. Several attempts have been made to introduce aerial image 9 (or at least simulation based 10-11 ) defect disposition into the mask inspection flow but have had limited success due to the difficulties of integrating third party defect review software with the inspection tools. It is the opinion of the authors that future technology nodes will require systematic use of aerial image base defect disposition to allow good masks to ship to customers with decent yields. The mask design and characterization methodology presented in this paper could be an useful tool in calibrating such inspection and disposition flows. 7.0 Acknowledgements AMTC is a joint venture of Infineon, AMD and Toppan Photomasks and gratefully acknowledges the financial support by the German Federal Ministry of Education and Research (BMBF) under Contract No. 01M314A "Abbildungsmethodiken für nanoelektronische Bauelemente") References 1. Verimask TM is atrademark of Toppan Photomask Incorporated 2. J. X. Chen, C.H. Howard, K. Son, F. D. Kalk, I-H. Lee, Universal inspection standard for evaluation of inspection system and algorithm sensitivity and runability Proc. SPIE Vol. 4066, p. 327-337 (2000) 3. K. J. Strozewski; J. Perez; A. Vacca; A. D. Klaum; K. J. Brankner. A new methodology to specify via and contact layer reticles for maximizing process latitude Proceedings SPIE Vol. 038 2003 4. W. W. Volk; H. I. Garcia; C. Becker; G. Chen; S. G. Watson New energy flux method for inspection of contact layer reticles Proceedings SPIE Vol. 474 2002. P van Adrichem, F Driessen, K vaan Hasselt, H-J Bruck Mask Error Enhancement Factor (MEEF) metrology using automated scripts in CATS Proc SPIE Vol 4889 (2002) pp. 1-7 6. C. E. Tabery and L. Page Use of Design Pattern Layout for Automatic Metrology Recipe Generation Proc. SPIE Vol 72 pp1424-1434 (200)

7. Poock, S. Maelzer, C. Spence, C. Tabery, M. Lang, G. Schnasse, M. Peikert, K. Bhattacharyya, Wafer Fab Mask Qualification Techniques and Limitations Proc SPIE Vol. 6349-30 8. Zeiss AIMS TM 4-193i http://www.smt.zeiss.com/sms 9. Y. Zabar, C. Braude, S. Mangan, D. Rost, R. Mann, Aera193i: aerial imaging mask inspection for immersion lithography Proceedings of the SPIE, Volume 618, pp. 6183G (2007) 10. L. Cai, J.H. Chen, L-H Tu, B. Chu, N. Chen, T.Y. Fang, and W.B. Hseih Automated Defect Severity Analysis for Binary and PSM Mask Defects Proc SPIE 4889 pp 23-263 (2002) 11. W.B. Howard, S. Pomeroy, R. Moses and T. Thaler Production evaluation of automated reticle defect printability prediction application Proc SPIE Vol. 633 (2007)