ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS. Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri

Similar documents
Ultra Fast Calculation of Temperature Profiles of VLSI ICs in Thermal Packages Considering Parameter Variations

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA

2366 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11, NOVEMBER 2014

SHORT TIME DIE ATTACH CHARACTERIZATION OF SEMICONDUCTOR DEVICES

Simulation of Electro-Thermal Effects in Device and Circuit

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Interconnect Lifetime Prediction for Temperature-Aware Design

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

Mitigating Semiconductor Hotspots

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone*

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

HIGH-PERFORMANCE circuits consume a considerable

Boundary Condition Dependency

Thermal Resistance Measurement

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

THERMAL TRANSIENT CHARACTEROZATION OF PACKAGED THIN FILM MICROCOOLERS. Kazuhiko Fukutani, Rajeev Singh and Ali Shakouri

Transient Thermal Measurement and Behavior of Integrated Circuits

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

ECE321 Electronics I

Transient Through-Silicon Hotspot Imaging

Interconnect s Role in Deep Submicron. Second class to first class

Two-Dimensional Numerical Investigation on Applicability of 45 Heat Spreading Angle

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Deformation of solder joint under current stressing and numerical simulation II

Short Time Transient Behavior of SiGe-based Microrefrigerators.

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Model of power InAlN/GaN HEMT for 3-D Electrothermal Simulations

Convolution and Linear Systems

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

A Fast Leakage Aware Thermal Simulator for 3D Chips

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Life and Reliability Evaluation of High-Power LED under Thermal Environment

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Implications on the Design

Thermomechanical Stress-Aware Management for 3-D IC Designs

THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Compact Thermal Modeling for Temperature-Aware Design

MIL-STD-883E METHOD THERMAL CHARACTERISTICS

TRANSIENT THERMAL CHARACTERIZATION OF ERAS/IN 0.53 GA 0.47 AS THERMOELECTRIC MODULE

3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Intel Stratix 10 Thermal Modeling and Management

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors

Chapter 2. Design and Fabrication of VLSI Devices

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Heat Sinks and Component Temperature Control

STUDY OF THERMAL RESISTANCE MEASUREMENT TECHNIQUES

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

Lecture 15: Scaling & Economics

Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion

Power Cycling Test Circuit for Thermal Fatigue Resistance Analysis of Solder Joints in IGBT

Automotive Grade Silicon Capacitors for Under the Hood Applications

Enabling Power Density and Thermal-Aware Floorplanning

Electrical Characterization of 3D Through-Silicon-Vias

Localized TIM Characterization Using Deconstructive Analysis

Integrated Circuits & Systems

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition

Multi-Objective Module Placement For 3-D System-On-Package

Stacked Chip Thermal Model Validation using Thermal Test Chips

Power Grid Analysis Based on a Macro Circuit Model

Thermal Characterization and Simulation of a fcbga-h device

An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Heat Dissipation Analysis under Natural Convection Condition on High Power LED

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

Minimizing Thermally Induced Interfacial Shearing Stress in a Thermoelectric Module

MODULE III PHYSICAL DESIGN ISSUES

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method

Memory Thermal Management 101

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Application of thermography for microelectronic design and modelling

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Analysis of Thermal Behavior of High Frequency Transformers Using Finite Element Method

Thermal Dissipation in Bonded Structures

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

Lecture 21: Packaging, Power, & Clock

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

CFD AND CONJUGATE HEAT TRANSFER ANALYSIS OF HEAT SINKS WITH DIFFERENT FIN GEOMETRIES SUBJECTED TO FORCED CONVECTION USED IN ELECTRONICS COOLING

Heap Charge Pump Optimisation by a Tapered Architecture

Transient Harman Measurement of the Cross-plane ZT of InGaAs/InGaAlAs Superlattices with Embedded ErAs Nanoparticles

PARADE: PARAmetric Delay Evaluation Under Process Variation *

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Thermal aspects of 3D and 2.5D integration

Parameterized Architecture-Level Dynamic Thermal Models for Multicore Microprocessors

HOW TO CHOOSE & PLACE DECOUPLING CAPACITORS TO REDUCE THE COST OF THE ELECTRONIC PRODUCTS

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Physics 156: Applications of Solid State Physics

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Transcription:

Nice, Côte d Azur, France, 27-29 September 2006 ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri Baskin School of Engineering, University of California Santa Cruz 1156 High Street, Santa Cruz, CA 95064, U.S.A. ABSTRACT One of the crucial steps in the design of an integrated circuit is the minimization of heating and temperature non-uniformity. Current temperature calculation methods, such as finite element analysis and resistor networks have considerable computation times, making them incompatible for use in routing and placement optimization algorithms. In an effort to reduce the computation time, we have developed a new method, deemed power blurring, for calculating temperature distributions using a matrix convolution technique in analogy with image blurring. For steady state analysis, power blurring was able to predict hot spot temperatures within 1 C with computation times 3 orders of magnitude faster than FEA. For transient analysis the computation times where enhanced by a factor of 1000 for a single pulse and around 100 for multiple frequency application, while predicting hot spot temperature within about 1 C. The main strength of the power blurring technique is that it exploits the dominant heat spreading in the silicon substrate and it uses superposition principle. With one or two finite element simulations, the temperature point spread function for a sophisticated package can be calculated. Additional simulations could be used to improve the accuracy of the point spread function in different locations on the chip. In this calculation, we considered the dominant heat transfer path through the back of the IC chip and the heat sink. Heat transfer from the top of the chip through metallization layers and the board is usually a small fraction of the total heat dissipation and it is neglected in this analysis. INTRODUCTION As power densities in integrated circuits (IC s) increases over time, controlling temperature is becoming an increasing integral aspect of the IC design [1]. With the increasing clock frequencies and shrinking die size, the temperature increase in IC chips can cause significant problems. The sub-threshold leakage power grows exponentially with temperature. Interconnect delay increases 5-8% per 10 C temperature increase. Crosstalk noise level increases on the order of 25% when there s 10 C temperature difference between an aggressor and a victim. Furthermore, high temperature operation reduces the lifetime of an IC. Both electromigration and oxide breakdown are exponential functions of temperature. Compounding the problem is the fact that the cost of an IC s thermal package also increases by $1 per Watt dissipated when powers are over 35-40W [2]. Therefore, efficient thermal design is becoming increasingly essential given the current trend in IC power consumption. In order to evaluate the thermal design of a proposed IC configuration, it is imperative to theoretically predict the temperature distribution of the active elements during operation to determine the maximum temperatures the chip will endure, in order to exclude designs that exceed the critical junction temperature. This analysis is further complicated considering the spatial and temporal non-uniform heating found in contemporary microprocessors, resulting in certain regions exceeding the mean operating temperature, which are known as hot spots [2]. Therefore, it is necessary to model a full temperature map of the IC s surface under steady state and transient conditions for thermal analysis to identify the extreme temperatures produced by hot spots. Current methods, such as finite element analysis (FEA), use the differential heat conduction equation, r r r T( r r r ρ ( x) c( x) = H ( + r x ( λ( x) r xt ( ) (1) t where, T, H, ρ, c, and λ denote temperature, heat flu mass density, specific heat and thermal conductivity, respectively. From a specified power map, the resulting temperature distribution can be calculated [3,4,5]. While this method is accurate, it is too time consuming to be implemented for large number of nodes representing a realistic IC and also to be used in routing and placement optimization algorithms. Therefore, an alternative method, with much faster calculation speeds, is necessary to ensure proper thermal design. Consequently, there has been a considerable amount of effort put forth in devising a faster method [6,7,8,9].

One proposed method is to model the IC as a network of thermal resistors and capacitors. This method has been used to accurately predict steady temperature distributions in VLSI systems in order to reduce hot spot temperatures [10]. However, this method is limited by the considerable effort necessary to model each subregion of an IC s surface as a circuit consisting of connections through the package to the heat sink, where each layer s thermal resistance must be found analytically or experimentally [6]. A further limitation of this method is the substantial calculation times associated with solving a complex network with large number of nodes. In this paper, we present a fast and accurate numerical method to calculate the surface temperature of an IC chip. This method is based on optical filtering technique in image processing. Blurred version of an image can be produced based on a known point spread function. After a review of the optical blurring, we will apply this technique to power dissipation map on a chip. OPTICAL BLURRING A black and white digital image consists of a matrix of numerical representations of tonal value. The process of spatial filtering replaces the value of each pixel in an image with a new value in order to achieve certain goals, such as sharpening or blurring. In the case of blurring an image, f, is convoluted with another matrix w, known as a mask, to produce the blurred image g, by the follow equation a s= a b g ( = w( s, f ( x + s, y + (2) t= b where a=(m-1)/2 and b=(n-1)/2 for a m x n mask [11]. In this paper we use the blurring of tonal value in accordance to Equation 2 in order to model the heat transfer process on the surface of an IC, hence the name power blurring. Power dissipation on the surface of an IC is represented by a power matrix and convoluted with a mask representing the heat spreading function. The results gave us the temperature map on the chip. The convolution could be done in frequency domain as multiplication. There are several papers related to fast thermal simulations using Green s function technique [5-9]. Our approach can be described in terms of the Green s function since we use the impulse response of the system and then we do a convolution. However, in the existing literature, analytical expressions of the Green function are used. The problem is that the accurate analytical solutions exist only for very simplified geometries and it is hard to obtain analytical solutions for realistic complicated packages. The analogy with image blurring, allows us to use a parameterized point spread function. This can be calculated with full 3D FEA simulations for a point source and then applied to an arbitrary power dissipation map quite accurately. This is extremely useful when different floorplans of the IC chip are investigated, or when temperature-aware routing and placement optimization is done. Since the major part of the heat spreading happens in the silicon substrate, the shape of the point spreading function does not change very much for different packages. The main differences are in the amplitude and in the width of the point spread function that could be easily parameterized for different configurations. FINITE ELEMENT ANALYSIS A flip chip package was simulated using ANSYS FEA software. [3] This model consisted of a silicon IC with a surface area of 1 x 1 cm and a Cu heat sink, with an intermittent heat spreading layer surrounded by a package lid, as illustrated in Fig. 1. The die was orthogonally meshed with congruent elements 0.025 x 0.025cm, to facilitate matrix representation. Using this model, heat fluxes were applied to the IC surface to simulate heating resulting from active transistors. Materials properties are listed in Table 1. In this calculation, we considered the dominant heat transfer path through the back of the IC chip and the heat sink. Heat transfer from the top of the chip through metallization layers and the board is usually a small fraction of the total heat dissipation and it is neglected in this analysis. Figure 1 FEA package model Table 1 Material properties (TIM stands for thermal interface material) Material Thermal conductivity (W/m-K) Density (Kg/m^3 ) Specific heat (J/Kg-K) Silicon 117.5 2330 700 Copper 395 8933 397 TIM1 5.91 1930 15 TIM2 3.5 1100 1050 POWER BLURRING To create a mask, the temperature impulse response of the system is determined via the application of an approximate delta function power using ANSYS. In that,

a 6,250 W/cm 2 heat flux was applied to a single (6.25x10 4 cm 2 ) element in the center of the simulated IC, to achieve a steady-state temperature distribution. The resulting temperature distribution was normalized by the amount of power applied to produce a mask, with units of C/W. Considering that the temperature spreading function is different when the element is near the edge of the chip, a correction was applied. This position dependent scaling function can be found by using FEA or by simple analytical approximations due to dominant heat conduction in silicon substrate. In order to test the validity of the power blurring method, we use it to analyze the power map from a published paper illustrated in Figure 2. [14] The error of the power blurring method was defined for each element on the chip surface by the following expression: PB( FEA( Error( = (3) FEA( where PB( is the temperature of the element ( predicted by power blurring and FEA( is the temperature on that element as predicted by FEA, using ANSYS. The steady state solution was obtained using FEA and power blurring. A cross section of the temperature profile along the diagonal axis is shown in Fig. 3 a-b. We can see that power blurring is able to accurately predict the temperature profile within 0.8 C. Furthermore, the FEA had a computation time of 95 seconds, while power blurring had a computation time of 0.031 seconds. Therefore, the power blurring method increased steady state computation time by a factor of more than 3000. Error in Figure 3: (a) Calculated temperature profile along the diagonal axis using FEA and power blurring techniques, (b) Power blurring s error in calculating the temperature. In transient analysis, two situations were analyzed, a single pulse excitation and multiple frequency excitations. For the single pulse analysis, a square wave power dissipation with a period of 1 second was applied to the entire surface of the chip and the whole power map shown in Fig. 2 was turned on at time t=0 and turned off at time t=0.5 seconds. 25ms time step was used in the calculation of the transient temperature profile. As illustrated in Fig.4, the maximum difference between hot spot temperature calculated with FEA and with power blurring technique was 0.39 C. Furthermore, the FEA had a computation time of 4911 seconds, while power blurring had a computation time of 7 seconds. Therefore, the power blurring method increased steady state computation time by a factor of ~700. Figure 2: Power dissipation in W/cm 2 on a 1 x 1 cm IC surface.

Error in Error in Figure 4 (a) Calculated transient temperature profile at the hot spot using FEA and power blurring techniques (b) Power blurring s error in calculating the transient temperature response on the hottest element of the chip, on the average for the whole chip and for the element with maximum error. For the multi-frequency case, to create a dynamic power profile different regions were pulsed with different frequencies. We pulsed 90W/cm 2 and 65W/cm 2 regions with a frequency of 100Hz. The 45 W/cm 2 and 40 W/cm 2 regions were driven at 20Hz, the 15 W/cm 2 region at 10Hz and the 25 W/cm 2 region was driven at 5Hz. As illustrated in Fig. 5, the hot spot temperature could be predicted within 0.5 C. Furthermore, the FEA had a computation time 6642 seconds, while power blurring had a computation time of 87 seconds. Therefore, the power blurring method increased multifrequency test time by a factor of 80, while predicting the temperature everywhere within less than 1.5 C. Figure 5 (a) Calculated transient temperature profile at the hot spot using FEA and power blurring techniques for the case where different areas of the chip are turned on and off with different frequencies, (b) Power blurring s error in calculating the transient temperature response on the hottest element of the chip, on the average for the whole chip and for the element with maximum error. SUMMARY We have shown in this paper that power blurring method is able to produce steady-state and transient temperature distribution inside the chip comparable to that of FEA with much faster calculation times. This method is similar to the Green s function technique that has been recently applied to IC thermal analysis [5-9, 15-17]. Instead of using analytical expressions of the Green s function that could be found only for simple geometries and which require infinite series, impulse function of the package is calculated using a numerical technique and then it is applied to an arbitrary heat dissipation profile. This power blurring method can also be generalized to 3D stacked chip geometries using the superposition principle and volumetric point spread function [12,13].

REFERENCES [1] Borkar, S., Desing Challenges of Technology Scaling Micro, IEEE Volume 19, Issue 4, pages:23-29, Jul-Aug 1999 [2] Skadron, K.; Abdelzaher, T.; Stan, M.R.; Controltheoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management High-Performance Computer Architecture, 2002. Proceedings. Eighth International Symposium, Page(s):17 28, 2-6 Feb. 2002 [3] ANSYS R7.0, 2003, by Swanson ANSYS Incorporated http://www.ansys.com [4] Li Zhang, Noella Howar, Vijaylaxmi Gumaste, Anindya Poddar Luu Nguyen, Thermal Characterization of Stacked-Die Packages Semiconductor Thermal Measurement and Management Symposium, San Jose, CA, pp.55-63, March 9-11, 2004 [5] Y.C. Gerstenmaier, H. Pape, G. Wachutka, Boundary Independent Exact Thermal Model for Electronic Systems Nanotech Vol. 1, Chapter 3, 2001 [6] Teck Joo Goh, K.N. Seetharamu, G.A. Quadir, and Z.A. Zainal, Thermal Methodology for Evaluating the Performance of Microelectronic Devices with Non- Uniform Power Dissipation Electronics Packaging Technology Conference, Page(s):312 317, 10-12 Dec. 2002 [7] Gerstenmaier, Y.C. Wachutka, G., Calculation of the Temperature Development in Electronic Systems by Convolution Integrals Semiconductor Thermal Measurement and Management Symposium Sixteenth Annual IEEE, San Jose, CA, USA, 2000 [8] York C. Gerstenmaier, Gerhard K.M. Wachitka, Efficient Calculation of Transient Temperature Fields Responding to Fast Changing Heat Sources Over Long Duration in Power Electronic Systems IEEE Transactions on Components and Packaging Technologies, VOL.27, NO. 1, March 2004 [9] Y.C. Gerstenmaier, G. Wachutka; A new Procedure for the Calculation of the Temperature Development in Electronic Systems, EPE 99 conf., Lausanee, Switxerland, (CD) ISBN 90-75815-04-2, 1999. [10] Yi-Kan Cheng, Ching-Han Tsai, Chin-Chi Teng, Sung-Mo (Steve) Kang, Temperature-Driven Cell Placemnt. Electrothermal Analysis of VLSI Systems. Boston: Kluwer Academic Punlishers, 2000. 157-179. [11] Rafale C. Goncalez, Richard E. Woods, Digital Image Processing, Prentice-Hall Inc. New Jersey, pp.116-123. 2002 [12] Balwant S Lall, Bruce M. Guenin, Ronald J. Molnar, Mehtodology for Thermal Evalution of Multichip Modules IEEE Transactions on Components, Packaging, and Manufacturing Technology-Part A. VOL. 18, NO. 4, December 1995 [13] Y.C. Lee, H.T. Ghaffari, and J.M. Segelken, Internal Thermal Resistance of a Multi-Chip Packaging Design for VLSI-Based Systems Components, Hybrids, and Manufacturing Technology, IEEE Transactions on [see also IEEE Trans. on Components, Packaging, and Manufacturing Technology, Part A, B, C] Vo lume 12, Issue 2, Page(s):163 169, June 1989 [14] Watwe, Parasher, Presence/Effect of Nonuniform ON-Chip Power Distribution Intel Corp., International Mechanical Engineering Congress and Exposition, New York, New York, November 11-16, 2001 [15] B. Wang and P. Mazumder, Fast Thermal Analysis for VLSI Circuits via Semi-analytical Green s Function in Multi-layer Materials, IEEE International Symposium on Circuits and Systems, pp. 409-412, May 2004. [16] Y. Zhan and S. S. Sapatnekar, Fast Computation of the Temperature Distribution in VLSI Chips Using the Discrete Cosine Transform and Table Look-up, Proceedings of the Asia/South Pacific Design Automation Conference, 2005. [17] Y. Zhan and S. S. Sapatnekar, A High Efficiency Full-Chip Thermal Simulation Algorithm, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 634 637, 2005.