Next Time on Parametric Yield. What Drives Worst Case Analysis?

Similar documents
Next Time on Parametric Yield. What Drives Worst Case Analysis?

Fall 2003 EE290H Tentative Weekly Schedule

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

EE290H. Special Issues in Semiconductor Manufacturing

EE290H. Special Issues in Semiconductor Manufacturing

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

Sensors and Metrology. Outline

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow

Lecture 5: DC & Transient Response

Lecture 15: Scaling & Economics

Lecture 0: Introduction

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

MOSFET: Introduction

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

VLSI Design I. Defect Mechanisms and Fault Models

EE 330 Lecture 17. MOSFET Modeling CMOS Process Flow

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

Very Large Scale Integration (VLSI)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 12 CMOS Delay & Transient Response

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

EE5311- Digital IC Design

MOS Transistor Theory

ENEE 359a Digital VLSI Design

Lecture 5: CMOS Transistor Theory

VLSI Design, Fall Logical Effort. Jacob Abraham

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 4: DC & Transient Response

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

MOS Transistor Theory

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

EE5780 Advanced VLSI CAD

S No. Questions Bloom s Taxonomy Level UNIT-I

EECS 141: FALL 05 MIDTERM 1

Microelectronics Part 1: Main CMOS circuits design rules

Lecture 16: Circuit Pitfalls

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

EE115C Digital Electronic Circuits Homework #6

Nanoscale CMOS Design Issues

Lecture 6: DC & Transient Response

Chapter 2. Design and Fabrication of VLSI Devices

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

VLSI Design The MOS Transistor

Random Offset in CMOS IC Design

ECEN 474/704 Lab 2: Layout Design

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

CMOS Transistors, Gates, and Wires

SEMICONDUCTOR MEMORIES

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

Exam 2 Fall How does the total propagation delay (T HL +T LH ) for an inverter sized for equal

Single Event Effects: SRAM

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays

Fault Modeling. Fault Modeling Outline

THE INVERTER. Inverter

CS 152 Computer Architecture and Engineering

VLSI. Faculty. Srikanth

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

Integrated Circuits & Systems

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Integrated Circuits & Systems

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process

Different Strategies for Statistical Compact Modeling MOS-AK Dresden

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Practice 3: Semiconductors

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Statistical Modeling for Circuit Simulation

5. CMOS Gate Characteristics CS755

Topic 4. The CMOS Inverter

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Topics to be Covered. capacitance inductance transmission lines

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

EE382M-14 CMOS Analog Integrated Circuit Design

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Lecture 5: DC & Transient Response

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

2. (2pts) What is the major difference between an epitaxial layer and a polysilicon layer?

Lecture 4: CMOS Transistor Theory

Future trends in radiation hard electronics

Transcription:

Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What Drives Worst Case Analysis? Basically, optimize an inverter design then, since most designs are just combinations of inverter-like gates it follows that the entire design would be OK even at the extreme points of process variation! p n Process domain: Vt Vt n n,, L L n n,, W n n,, kp kp n n,, Vt Vt p p,, L L p p,, W p p,, kp kp pp Tox, T, T, Vdd Performance domain: τ, τ, P Yield Body: P < x µw, t t < y nsec. 2

Simple Digital Worst Case I dsat sets power and speed in digital logic, so the extremes of I dsn and I dsp set the performance spread. Method Identify typical, fast and slow N, P. Extract the respective process parameters. Name the cases TT, SS, FF, SF, FS, respectively. Make sure performance meets specs at extremes. 3 Parametric Transistor Measurements 4

Simple Digital Worst Case (cont) Ids(p) SF FF SS FS Ids(n) Problems It implies that the yield body is convex. The Box might be unnecessarily big (over-design). Idsatextremes do not always map to performance extremes. Local variability is ignored (under-design). 5 Table Driven Digital Worst Case N and P device behavior is highly correlated! Process Ids(n) Ids(p) Related? Cox DW DL LatDiff msurface Yes Yes Yes no no Method Use table to relate process variability to Idsat deviations. Identify extremes through measurements. Extract the process parameters for each. Call them TT, SS, FF, SF, FS, respectively. Make sure performance goals are met by all. 6

Table Driven Worst Case (cont.) Ids(p) SF FF SS FS Problems It still implies that the Yield Body is convex. Idsat extremes do not always map to the actual performance spread. Local variability is ignored (under-design). Ids(n) 7 Working with Complete Parameter Sets Do not just focus on Idsat. Assume that all process parameters are varying. Independence cannot be assumed - use Principal Component Analysis (PCA) to transform the Problem. Method Extract process parameters from a population of devices. Find the correlation matrix of the process parameters. Apply PCA to transform to an independent parameter space. Use Constrained optimization to find the performance extremes (space is nicely convex). 8

Complete Parameter Sets (cont.) Problems Multiple extractions of correlated parameters. Performances analyzed at device - not circuit level. Local variability is ignored. 9 Working with Reduced Parameter Sets Assume that only few process parameters (V fb, T ox, L, W) and operational parameters (V dd, T) are varying independently. (No PCA necessary!) Use circuit simulation to define extremes. Method Develop special statistical device model. Perform n+1 circuit simulations at extremes. Establish linear approximation of yield body. Integrate Yield numerically. (Find Yield gradient, optimize yield) (Non-linear modeling and complex experimental designs also possible) 10

Reduced Parameter Sets (cont.) Problems Special device modeling considerations. Linear approximations good for high yield only. Local variability is ignored (no analog designs!) 11 Modern Worst Case Files... We now fully realize that the device level worst case files are just an intermediate abstraction to capture the process variability. We also know that process parameters are highly correlated. So, state-of-the art techniques attempt to approximate the ellipse that typically describes the distribution of device parameters. 12

Modern Worst Case files 13 Carefully designed arrays of simulations can reproduce statistics of process 14

Time out! What do all these Techniques need? Process Electrical Accurate process characterization! Deep understanding of how variability propagates from one level of abstraction to the next! Circuit Performance 15 An Example of Using TCAD in Process Characterization Accurate Statistical Process Variation Analysis for 0.25- m CMOS with Advanced TCAD Methodology, Hisako Sato, Hisaaki Kunitomo, Katsumi Tsuneno, Kazutaka Mori, and Hiroo Masuda, Senior Member, IEEE, IEE TSM, Vol 11, No 4, November 1998 16

The basic Macromodeling Idea 1. tune process/device simulator for good agreement with process. 2. Run the tuned simulator over a designed experiment. 3. Fit polynomial (macromodel) to simulator results. 4. Replace costly simulator with inexpensive (but rather local ) macromodel. 17 Good Statistical Match Can be Achieved... 18

How about Statistical Process Simulation? Low level, physical process parameters can be used as statistically independent disturbances. Method Identify process disturbances. Infer multi-level statistics of process disturbances. Use fats process and device simulation to generate device parameters. Global and local variations can be represented. 19 Statistical Process Simulation Compact Process / Device Models. Typically 1-D or compact 2-D models. The concept of process disturbances. Low level process parameters that are, almost by definition, independent from each other. 20

The Role of Statistical Process Simulation Diffusivity Oxide Growth Coef. Problems Process Characterization is difficult Modeling accuracy is an issue Monte Carlo simulation is still expensive Leff Tox 21 Possible to Model Statistics of Device Performance 22

For complex Analog Circuits... Matching matters. It is impossible to consider matching of individual devices It is practical to group devices, and consider matching across groups. 23 Why do we really loose Yield? Catastrophic defects due to contamination. One time departures from Statistical Control. 24

Problems with earlier Techniques Earlier Techniques assume: The entire fab line is under SPC. Critical steps can be characterized via measurements. VLSI Technologies, however, are often too short-lived and too complex to achieve SPC status! 25 A Binning Prediction Problem Create a model that relates yield to easy-to-measure, in-line and electrical parameters. Base model on process physics - so that is is valid even when the process is out of SPC. 26

The Binning Prediction (cont.) Use this model in conjunction with measurements to predict functional yield (speed binning) early on. This will give early feedback about the line and the product in it. Some of the costly performance testing might be avoided. Performance Prediction Model Binning Step Step Step P. P. Test 27 The Generic Process Model 28

The Specific IC Product Model 29 Simulated Statistical Distribution of Performances Energy vs. Read 0 Access time Read 0 vs. Read 1 Access Time 30

Yield Body Representation Using a linear model, each side of the yield body is a hyperplane of the form: Performance = A 0 +A 1 (N ld ) + A 2 (N tox )+... The adequacy of the model can be examined by plotting the distance of good and bad parts from the hyperplanes: d p =AX p Projecting constraints into two dimensional planes gives insight into how to improve the model. 31 Linear Approximation of the Yield Body 32

Test Patterns We need to characterize both interconnect and active areas of the chip. Test patterns include: Transistor arrays (three sizes of each type). Capacitors for three typical oxide thicknesses. Polysilicon structures for word line resistance. 33 In-Line and Electrical Measurements In order to use this model as a yield predictor, we have to feed to it easy to collect measurements. Standard test patterns are in use to collect Tox, Vt, kp, L, W, doping levels, poly resistivities. 34

Overall Binning Prediction Methodology 35 36

Issues in IC Manufacturability Importance and Causes of Yield Loss A Formulation of the DFM Problem Circuit Design for Manufacturability Yield Modeling Methods CIM and DFM 37 Projected Parametric Variation in Future Nodes 38

Projected Parametric Variation in Future Nodes Test Circuits used to facilitate Analysis Logic Interconnect 39 Projected Parametric Variation in Future Nodes % Sigma / Mean 14 12 10 8 6 4 2 Performance Variation Trend - 8 Bit Mirror Adder % Variation caused by vth0 70 60 50 40 30 20 10 Delay and Energy variation caused by vth0 variation - 8 Bit Mirror Adder 0 0 50 100 150 200 Technology Node (nm) Linear (% Sigma / Mean (Delay)) Linear (% Sigma / Mean (Energy)) 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by vth0 variation ) Linear (% Energy variation caused by vth0 variation) % Variation caused by lint Delay and Energy variation caused by lint variation - 8 Bit Mirror Adder 80 70 60 50 40 30 20 10 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by lint variation ) Linear (% Energy variation caused by lint variation ) 40

Projected Parametric Variation in Future Nodes 4 3.5 Performance Variation Trend- Interconnect 140 Delay and Energy variation caused by width variation % Sigma / Mean 3 2.5 2 1.5 1 0.5 0 0 50 100 150 200 Technology Node (nm) Linear (% Sigma / Mean (Delay)) Linear (% Sigma / Mean (Energy)) % Performance variation 120 100 80 60 40 20 0 0 50 100 150 200 Technology (nm) Linear (% Delay variation induced by width variation) Linear (% Energy variation caused by width variation) 80 Delay and Energy variation caused by spacing variation Delay and Energy variation caused by thickness variation 80 % Performance variation 70 60 50 40 30 20 10 % Performance variation 70 60 50 40 30 20 10 0 0 50 100 150 200 Technology Node (nm) 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by spacing variation) Linear (% Energy variation caused by spacing variation) Linear (% Delay variation caused by thickness variation) Linear (% Energy variation caused by thickness variation) 41 Projected Parametric Variation in Future Nodes % Performance variation Delay and Energy Variation caused by height variation 25 20 15 10 5 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by height variation) Linear (% Energy variation caused by height variation) % Performance variation Delay and Energy variation caused by dielectric variation 35 30 25 20 15 10 5 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by dielectric variation) Linear (% Energy variation caused by dielectric variation) % Performance variation Delay and Energy variation caused by interconnect variation 100 90 80 70 60 50 40 30 20 10 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by interconnect variation) Linear (% Energy variation caused by interconnect variation) % Performance variation Delay and Energy variation caused by device variation 120 100 80 60 40 20 0 0 50 100 150 200 Technology Node (nm) Linear (% Delay variation caused by device variation) Linear (% Energy variation caused by device variation) 42

wafer fab Let s Summarize... in-line tests real-time measurements Equipment Utilization step 1 step 2 step n e-test back-end wafer yield functional test die yield (functional) packaging binning/ parametric test field installation field data die yield (parametric) 43 IC production suffers from routine and assignable variability. Human errors, equipment failures Processing instabilities Material non-uniformities Substrate inhomogeneites Lithography spots Variability causes deformations Geometrical Electrical Lateral Global Vertical Local Spot defects Deformations have deterministic and random components, are global and/or local, can be independent or can interact. 44

Performance vs Yield 45 How does reduced variability help? 600k APC investment, recovered in two days... 46

Design Yield Prediction First we define functional (timing and other functionality constraints) and parametric (speed, power etc.) measures: Q F = {q F 1,q F 2,..., q F nf } T Q P = {q P 1,q P 2,..., q P np } T Then we define the space that contains both types of measures: F P S Q = S Q S Q The set of acceptable IC performances is given as: A Q = {Q S Q each q j acceptable j=1,2,..., n Q } n Q = n F + n P 47 Design Yield Prediction (cont.) All performances are determined from the state variables of the process (geometric and electrical parameters after wafer fabrication): A W = {X w S W Q (X w ) A Q } This is the acceptability region defined in the state variable space. The Yield is defined as: Y = g(x W ) f W (x W )dx W 1, x W A W f W (x W ) is the jpdf of X W and g(x W ) = { 0, otherwise Y = A W f W (x W )dx W 48

Design Yield Prediction (cont.) Let us now assume that Xwreally depends on the parameter sets defined as C (Controls), L (Layout), and D (disturbances). Given fixed values for C and L, then: Y = f D (δ)dδ A D (C 0,L 0 ) Let us further assume that C, L, D are separable (i.e. some affect performance and some functionality, but none affects both). Then: Y ' FUN = f D '(δ')dδ' A F D (C 0,L 0 ) and Y' PAR = f D ''(δ'')dδ'' A P D (C 0,L 0 ) 49 Manufacturing Yield vs. Design Yield Y M = N F N = N W N N F N W N P N F = Y W Y PT Y FT Because of test coverage limitations: Y M Y Because of imperfect separation: Y Y PAR ' Y FUN ' Finally, since we do not probe the actual circuit, we have: Y PRO Y PAR 50

Yield and Production Cost The objective is not to maximize yield but to minimize cost. Wafer Cost: C 1 = (N - N W )(c P *+ c W ) Probe Cost: C 2 = (N W - N P )(c PT + c P + c W ) Final Test Cost: C 3 = N P (c FT + c A + c PT +c P + c W ) Total Cost per chip sold: C = C 1 + C 2 + C 3 C N F = N P N F (c FT + c A + c PT +c P + c W ) + N W N F (1 - N P N W )(c PT + c P + c W ) + N N F (1 - N W N F )(c P *+ c W ) 51 Yield and Production Cost (cont) Finally, total cost per chip sold: C = (c FT + c A + c PT +c P + c W ) + Y P(1 - Y FT )(c FT + c A + c PT +c P + c W ) + N F Y M Y W (1 - Y PT )(c PT + c P + c W ) + 1 (1- Y W )(c P *+ c W ) Y M Y M This means that the yield maximization problem and the cost minimization problem are not equivalent! VLSI Design for Manufacturing: Yield Enhancement Director, Maly and Strojwas Kluwer Academic Publishers 1989 52

In Conclusion Yield is good and Variability is bad. metrology statistical process control run-to-run and real-time control Must manipulate process steps to accommodate circuits and designs. modeling design of experiments Must keep cost of manufacturing low. Automation of product flow Automation of information management 53 Calculating IC Cost vs Defect Density An example... 54

The 1997 Roadmap (see transistor cost) Year 1997 1999 2001 2003 2006 2009 2012 Feature nm nm 250 180 150 130 100 70 70 50 50 Area mm 22 300 340 385 430 520 620 750 Density cm cm -2-2 3.7M 6.2M 10M 18M 39M 84M 180M Cost mc/tr 3000 1735 1000 580 255 110 50 50 technology 248 248 193? 157? 14 14 14 14 14 14 wafer size 200 300 300 300 300 450 450 Function/milicent 20 15 10 5 Overall Production Efficiency up by ~20X (!) from 1997 to 2012. 0 1997 1999 2001 2003 2006 2009 2012 Function/milicent 55 Negative Binomial (a widely accepted yield model) If f(d) follows a Gamma distribution, then: Y = 1 + A D α And if clustering becomes an issue, then: - α (α ~ 0.3-3) Y = Y o 1 + A D α - α where Yo is the gross cluster yield. 56

Typical Defect Size Distribution This means that defect density increases to to about 1/square~1/cube of of line width! 57 Line Yield, Memory Historical data data on on what what percentage of of WAFERS makes makes it it to to the the end. end. We We can can assume that that this this Yield Yield component will will be be a non-issue in in the the future... 58

Line Yield, CMOS Logic...even though CMOS does not have perfect wafer yield yet! 59 Memory Defect Density, 0.45-0.6µm A is is the the area. area. D is is the the defect defect density density (goes (goes up up to to 1/cube~1/square of of line line width width for for a given given clean clean room room class). class). Numbers Numbers here here are are for for class class 10. 10. See See next next slides slides for for data data points points from from older older technologies... Y = [ (1-e -AD )/AD ] 2 60

Logic Defect Density, 0.7-0.9µm CMOS Y = [ (1-e -AD )/AD ] 2 61 Logic Defect Density, 0.7-0.9µm CMOS Y = [ (1-e -AD )/AD ] 2 62

Bringing the Puzzle Pieces together... Year D CD Area GD/W Killer Def Wafer Size Density Yield Cent/Die 10^-6c/Tr 1997 0.000600 0.250 300.000 88.096 0.000600 200.000 3.700 0.842 11351 3068 1999 0.000500 0.180 340.000 137.856 0.001340 300.000 6.200 0.663 10881 1755 2001 0.000140 0.150 385.000 145.052 0.000648 300.000 10.000 0.790 10341 1034 2003 0.000050 0.130 430.000 141.792 0.000356 300.000 18.000 0.863 10579 588 2006 0.000002 0.100 520.000 133.684 0.000031 300.000 39.000 0.984 11220 288 2009 0.000004 0.070 620.000 229.707 0.000182 450.000 84.000 0.896 9795 117 2012 0.000001 0.050 750.000 193.394 0.000125 450.000 180.000 0.912 11634 65 Note how clean we must be! Note trade-offs between wafer size and defects! 20x improvement will not be easy... 63 Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing. 5. Analysis of Variance. 6. Two-level factorials and Fractional factorial Experiments. 7. System Identification. 8. Parameter Estimation. 9. Statistical Process Control. Distribution of projects. (week 9) 10. Run-to-run control. 11. Real-time control. Quiz on Yield, Modeling and Control (week 12) 12. Off-line metrology -CD-SEM, Ellipsometry, Scatterometry 13. In-situ metrology - temperature, reflectometry, spectroscopy 14. The Computer-Integrated Manufacturing Infrastructure 15. Presentations of project results. IC Yield & Performance Process Modeling Process Control Metrology Manufacturing Enterprise 64