Resist-outgas testing and EUV optics contamination at NIST

Similar documents
Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons

Overview of EUV Lithography and EUV Optics Contamination

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Supporting Information

EUVL Readiness for High Volume Manufacturing

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films

TPD-MS. Photocatalytic Studies Using Temperature Programmed Desorption Mass Spectrometry (TPD-MS) APPLICATION NOTE NOTE

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Analysis of carbon contamination on EUV mask using CSM/ ICS

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

In order to determine the energy level alignment of the interface between cobalt and

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Lecture 8. Photoresists and Non-optical Lithography

Cleaning of Silicon-Containing Carbon Contamination

Light-Induced Atom Desorption in Alkali Vapor Cells

Current development status of Shin-Etsu EUV pellicle

Acidic Water Monolayer on Ruthenium(0001)

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

ETCHING Chapter 10. Mask. Photoresist

Measurement of EUV scattering from Mo/Si multilayer mirrors

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Progress on ASML s EUV Alpha Demo Tool

Measurement of the role of secondary electrons in EUV resist exposures

Generation of strong electric fields in an ice film capacitor

Photolithography II ( Part 1 )

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dehydrogenation of Liquid Organic Hydrogen Carriers In-situ Spectroscopic Studies

Sensors and Metrology. Outline

Evaluation at the intermediate focus for EUV Light Source

Graphene field effect transistor as a probe of electronic structure and charge transfer at organic molecule-graphene interfaces

EUVL Optics lifetime and contamination. European Update

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

EUV Lithography Towards Industrialization

Hydrogenation of Single Walled Carbon Nanotubes

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003

Status of multilayer coatings for EUV Lithography

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport

Screening of basic resist materials and PAGs for EUV-Lithography

ASML Approach to Euv Reticle Handling

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments

EUREKA: A new Industry EUV Research Center at LBNL

A short pulsed laser cleaning system for EUVL tool

Supporting Information s for

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking,

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

EUVL for HVM: Progress Update

Supplementary Figure 1: A potential scheme to electrically gate the graphene-based metamaterial. Here density. The voltage equals, where is the DC

PCCP PAPER. Electron induced reactions of surface adsorbed tungsten hexacarbonyl (W(CO) 6 ) Introduction

Asymmetric transport efficiencies of positive and negative ion defects in amorphous ice

Supplementary Information

Special Properties of Au Nanoparticles

Supplementary Information

SUPPLEMENTARY INFORMATION

UV Fluorescence from Integrating Spheres Measurement and Theory

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Takeo Watanabe Center for EUVL, University of Hyogo

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Actinic review of EUV masks: First results from the AIMS EUV system integration

Electronic Supplementary Information

Nanoimprint Lithography

Surface Chemistry of Alanine on Ni{111} Supporting Information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Introduction. Photoresist : Type: Structure:

Results on a-c tubes subjected to synchrotron irradiation

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Initial Stages of Growth of Organic Semiconductors on Graphene

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Vacuum System of Synchrotron radiation sources

Supplementary Information

Particle Size Determinations: Dynamic Light Scattering: page 161 text

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Lecture 10 Thin Film Growth

Paper presentation. M S Bootha Raju Date: 28/11/09

The deposition of these three layers was achieved without breaking the vacuum. 30 nm Ni

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Reaction Mechanism of Area-Selective Atomic

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Auger Electron Spectroscopy (AES)

Lecture 14 Advanced Photolithography

Non-Evaporable Getters

Cover Page. The handle holds various files of this Leiden University dissertation.

Functional Group Adsorption on Calcite: I. Oxygen Containing and Nonpolar Organic Molecules

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

( 1+ A) 2 cos2 θ Incident Ion Techniques for Surface Composition Analysis Ion Scattering Spectroscopy (ISS)

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Jlab FEL Photoemission DC Guns

Supporting Information

Supporting Information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

Transcription:

1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National Institute of Standards and Technology, NIST Gaithersburg, MD, 20899 USA

Outline 2 2012 International Workshop on EUVL, Maui, HI Status and progress of resist testing at NIST Summary of previous contamination studies at NIST Atomic-H cleaning of carbon and non-c residuals Future directions

Update on resist-outgas testing at NIST 3 2012 International Workshop on EUVL, Maui, HI Agreements to perform testing with four resist manufacturers NIST witness sample (WS) resist-outgas test E0 measurement Includes 2 separate WS exposures to verify reproducibility Cost $9,363 per resist Current throughput 1.5 resists per week Once reproducibility is sufficiently established over several resist families, cost will be lowered & throughput increased by performing only one WS exposure per resist. Limited data base of 10 different resists tested to date Only one failed NXE-3300 C thickness spec 5 tests of commercial resists (all passed)

5 resists tested during qualification of NIST facility 4 2012 International Workshop on EUVL, Maui, HI C thickness scaled to 300 mm wafer exposure 200 mm wafer exposed in 1 hr C thickness scaled by 9/4 to get 300 mm wafer exposure equivalent Reproducibility within ±10%

Outgas testing requires intensity saturation on WS Witness sample (WS) Ru-MLM Wafer Relay MLM Spectroscopic ellipsometry map Line profile through spot center Position (mm) 5 2012 International Workshop on EUVL, Maui, HI

Admitted-gas studies at NIST Spectral irradiance [mw/mm 2 /nm] 6 2012 International Workshop on EUVL, Maui, HI Two optics-contamination beamlines at NIST synchrotron BL1B: high intensity (50 mw/mm 2 ), broadband with median wavelength 10 nm BL8: moderate intensity (5 mw/mm 2 ), in-band at 13.5 nm Established basic scaling laws for EUV-induced contamination rates Intensity Pressure Species Wavelength

Studies of intensity dependence of contamination rates Witness sample (WS) Ru-MLM Wafer Relay MLM Spectroscopic ellipsometry map Line profile through spot center Position (mm) 7 2012 International Workshop on EUVL, Maui, HI

Intensity scaling: saturation & mass-limited growth Growth rate of base vacuum C growth rate is mass limited for I > I sat Every adsorbed molecule photo-reacts. Outgas test measures absolute max rate Scales linearly with pressure I sat increases with pressure. I sat varies with species. Pressure scaling logarithmic for I < I sat Measurement at single P and I cannot be reliably scaled to different conditions. Scaling regime must be determined. 8 2012 International Workshop on EUVL, Maui, HI

C growth rate at 1 mw/mm 2 (nm/h) 9 EUVL Symposium, Miami, FL (Oct. 18, 2011) Contamination rates measured over large pressure range At 1 mw/mm 2 the 13.5 nm contamination rates scale with log of pressure below 10-5 Torr for every species tested. Consistent with non-ideal surface with distribution of adsorption energies.

Contamination rates below intensity saturation Contamination rate [nm/h] (1 to 2) nm/h 10 2012 International Workshop on EUVL, Maui, HI In-band contamination rate at 1 mw/mm 2 Measured @ 13.5 nm Scaled from 10 nm broadband Exposure pressure Vapor pressure Diethyl sulfide Benzene Toluene Tetradecane Diethyl benzene Diphenyl sulfide 2 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 5 10-7 Torr 58 Torr 94 Torr 27 Torr 3 10-3 Torr 1 Torr 2 10-3 Torr

Contamination rates below intensity saturation Contamination rate [nm/h] (1 to 2) nm/h 11 2012 International Workshop on EUVL, Maui, HI In-band contamination rate at 1 mw/mm 2 Measured @ 13.5 nm Scaled from 10 nm broadband Exposure pressure Vapor pressure Diethyl sulfide Benzene Toluene Tetradecane Diethyl benzene Diphenyl sulfide 2 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 5 10-7 Torr 58 Torr 94 Torr 27 Torr 3 10-3 Torr 1 Torr 2 10-3 Torr Presence of S does not necessarily result in high contamination rate

Contamination rates below intensity saturation Contamination rate [nm/h] (1 to 2) nm/h 12 2012 International Workshop on EUVL, Maui, HI In-band contamination rate at 1 mw/mm 2 Measured @ 13.5 nm Scaled from 10 nm broadband Exposure pressure Vapor pressure Diethyl sulfide Benzene Toluene Tetradecane Diethyl benzene Diphenyl sulfide 2 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 1 10-6 Torr 5 10-7 Torr 58 Torr 94 Torr 27 Torr 3 10-3 Torr 1 Torr 2 10-3 Torr Presence of S does not necessarily result in high contamination rate Vapor pressure better indicator of contamination potential, but not universal

Wavelength dependence of contamination rates 13 2012 International Workshop on EUVL, Maui, HI Compare ratio of rates at different wavelengths to in band rates at 13.5 nm. Be, Sn, In BL1B BL8, Be Calculated power spectra at sample for different filters BL8, Sn BL8, In 13.5 nm

C-growth rates norm to 13.5 nm rate Wavelength dependence of contamination rates 14 2012 International Workshop on EUVL, Maui, HI Compare ratio of rates at different wavelengths to in band rates at 13.5 nm. 13.5 nm Horizontal bars contain 80% of power for each configuration Calculated power spectra at sample for different filters dramatic increase between ~10 nm and ~60 nm is the same for High & low contaminating species Pressures (10-8 to 10-6 ) Torr Subsequent measurements suggest rates from (100 to 200) nm are comparable to 13.5 nm. DUV out-of-band light may pose greater risk to optics than in-band 13.5 nm light 13.5 nm

C growth per photon norm to 13.5 nm Wavelength scaling of C deposition per photon 15 2012 International Workshop on EUVL, Maui, HI Contamination per photon also shows significant increase. Higher rates not only due to increase in photons per unit energy. Horizontal bars contain 80% of power for each configuration Calculated power spectra at sample

NIST atomic-h cleaning facility 16 2012 International Workshop on EUVL, Maui, HI Cleaning rate determined by in situ Nulling Ellipsometric Imaging System (NEIS) EUV+tetradecane deposit To loadlock Base pressure ~1E-8 torr Filament-sample distance = 45 mm Filament material - W H 2 pressure ~ 1 Torr T filament = 1850 o C T sample 60 o C In situ NEIS signal normalized to thickness as measured by XPS before cleaning.

Atomic-H cleaning of non-c contaminants 17 2012 International Workshop on EUVL, Maui, HI At 2011 EUVLS Resist TWG ASML indicated little/no data on efficacy of atomic H cleaning of non-c contaminants (S, P, I, F, etc.) In one case when XPS could be performed before and after cleaning of resist outgas sample, ~3 At% of S was completely removed by AH. AH completely removed all C and S from ~6 nm deposit made by exposing TiO 2 -cap MLM in presence of diphenyl sulfide. NIST just completed new high-contamination facility to make EUV-induced deposits of highly contaminating species which may contain non-c elements of interest. Prior to this, NIST performed preliminary investigations by AH cleaning of EUVexposed spin-coated polymers containing appropriate species.

Polymer-based AH-cleaning study of S & F 18 2012 International Workshop on EUVL, Maui, HI Spin coat S-containing polymer: (C 10 H 18 S) n Poly(3-hexylthiophene) or P3HT or Si or Ru-MLM substrate Verify native film with SE EUV SE & XPS AH cleaning SE & XPS 1 2 3 4 5 1) Spin coat <10 nm film of polymer onto Si or Ru-cap MLM substrate 2) Perform EUV exposures with varying dose (1-200 J/mm 2 ) 3) Inspect with spectroscopic ellipsometry (SE) and XPS 4) Clean with atomic-h (AH) 5) Inspect with SE and XPS

Effect of EUV on C 1s XPS peak Binding energy (ev) 19 2012 International Workshop on EUVL, Maui, HI C 1s XPS peak Binding energy of main C 1s XPS peak P3HT Lowest EUV dose dramatically alters P3HT C1s peak to similar shape and energy of typical admitted-gas EUV-C.

Effect of EUV on C 1s XPS peak Binding energy (ev) 20 2012 International Workshop on EUVL, Maui, HI C 1s XPS peak Binding energy of main C 1s XPS peak P3HT Admitted-gas EUV-C Admitted-gas C 14 H 30 + EUV Graphite Lowest EUV dose dramatically alters P3HT C1s peak to similar shape and energy of typical admitted-gas EUV-C. C1s binding energy shifts toward graphitic state with increasing EUV dose as observed with admitted-gas EUV-C deposits. Similar trend in PVDF and all admitted-gas exposures

EUV-induced desorption of S and F 21 2012 International Workshop on EUVL, Maui, HI P3HT: (C 10 H 18 S) n Amount of C remains relatively constant with EUV dose for both polymers S is partially desorbed by EUV ~ 40% of S is rapidly desorbed by EUV (<10 J/mm2) ~60% of S is resistant to desorbtion by highest doses

EUV-induced desorption of S and F 22 2012 International Workshop on EUVL, Maui, HI P3HT: (C 10 H 18 S) n PVDF: (C 2 H 2 F 2 ) n Amount of C remains relatively constant with EUV dose for both polymers S is partially desorbed by EUV ~ 40% of S is rapidly desorbed by EUV (<10 J/mm2) ~60% of S is resistant to desorbtion by highest doses F is highly susceptible to desorption by EUV ~50% of F is rapidly desorbed by lowest doses F continues to desorb with increasing dose

AH effectively cleans S-containing polymer 23 2012 International Workshop on EUVL, Maui, HI Before cleaning After cleaning XPS S 2s map XPS Atomic concentration EUV dose C 1s O 1s S 2s Si 2p N 1s J/mm2 at% at% at% at% at% 0 72 11 6.3 11 0.2 10 69 16 3.8 12 0.3 20 69 16 3.9 11 0.3 100 67 16 3.5 13 0.2 200 67 17 3.6 13 0.4 XPS S 2s map XPS Atomic concentration EUV dose C 1s O 1s S 2s Si 2p N 1s J/mm2 at% at% at% at% at% 0 13 34 <0.5 53 0.1 10 14 34 <0.5 52 20 14 34 <0.5 52 100 17 33 <0.5 49 200 15 35 <0.5 51 SE map SE map Cleaning rate for: EUV-polymer EUV-deposited C

AH cleaning of EUV-exposed 5 nm PVDF film Initial cleaning rate of EUV-exposed (less F) polymer is faster than for native (more F) Even fast initial cleaning rate is ~3x slower than rate for EUV-deposited C Cleaning rates decrease significantly with time for all EUV doses Suggests presence of F hinders AH cleaning. Consistent with EIDEC outgas results showing no F in exposure spot but significant F outside, even after AH. 24 2012 International Workshop on EUVL, Maui, HI

Important issues in optics contamination 25 2012 International Workshop on EUVL, Maui, HI 1. Establish equivalence of e-beam vs. EUV resist outgas testing Compare C thickness for expanded set of resists Compare AH cleaning efficacy (both C and non-c) 2. Contamination rates of PAG-related molecules containing (S, F, P, I ) 3. AH cleanability of deposits containing S, F, P, I Cleaning of contamination from EUV exposure in admitted gases Resist-outgas samples with XPS measurements before and after AH cleaning 4. Possible new phenomena associated with long-term EUV exposure in HVM: graphitization of C and impact on AH cleaning rate Reflectivity loss due to repeated AH cleaning 5. Further improvements in the XPS determination of residuals Developing methods for common definition of detection limit Compare non-c At% for outgas-test residuals with different labs

Thank you! 26 2012 International Workshop on EUVL, Maui, HI

Supplemental Slides 27 2012 International Workshop on EUVL, Maui, HI

C growth rate at 1 mw/mm 2 (nm/h) Pressure scaling of EUV contamination rates driven by fundamental surface physics Coverage, ML 28 EUVL Symposium, Miami, FL (Oct. 18, 2011) EUV-induced contamination rates (NIST) Equilibrium coverage (Rutgers, no EUV) Molecular coverage (monolayers) 0.5 0.4 0.3 0.2 0.1 TPD 300K Methyl Methacrylate (MMA) TiO2(011) Toluene C/Ru(1010) Benzene TiO2(011) 0 C/Ru(1010) 1.0E-10 1.0E-09 1.0E-08 Partial Partial pressure, Torr (Torr) EUV contamination and equilibrium molecular coverage (non-irradiated) scale with log of pressure Additional measurements at Rutgers show surface binding energy decreases with coverage, leading to sub-linear pressure dependence of coverage.

New facility for highly contaminating molecules 29 2012 International Workshop on EUVL, Maui, HI PAG related molecules containing S, P, I, F, etc.

EUV-induced F desorption from 15 nm PVDF film 30 2012 International Workshop on EUVL, Maui, HI XPS F1s map (2 exposures) Line profiles of XPS F1s map 100 J/mm2 1 J/mm2 XPS of 5 & 15 nm films shows F is rapidly desorbed from polymer by EUV, but C is not. DEA cross section of adsorbed halocarbons is VERY high [e.g. ~4x10^-16 cm2 for CF2Cl2/Ru in JCP 121(17) (2004) 8547] Similar EUV exposures of S-containing polymer produced only ~25% reduction in S:C ratio.