A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

Similar documents
A Temporary Bonding and Debonding Technology for TSV Fabrication

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Hybrid Wafer Level Bonding for 3D IC

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Lecture 0: Introduction

Thin Wafer Handling Debonding Mechanisms

Process Watch: Having Confidence in Your Confidence Level

Passionately Innovating With Customers To Create A Connected World

EV Group. Engineered Substrates for future compound semiconductor devices

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

1.0 Continuous Distributions. 5.0 Shapes of Distributions. 6.0 The Normal Curve. 7.0 Discrete Distributions. 8.0 Tolerances. 11.

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

SEXTANT & SEXTANT PE frequently asked questions

CLASS NOTES: BUSINESS CALCULUS

Supporting Information

Lecture 15: Scaling & Economics

Figure 1: Conventional labelling of axes for diagram of frequency distribution. Frequency of occurrence. Values of the variable

Today we begin the first technical topic related directly to the course that is: Equilibrium Carrier Concentration.

Deep Algebra Projects: Algebra 1 / Algebra 2 Go with the Flow

Gr. 11 Physics Electricity

Release Liners: The Most Important Trash You ll Ever Buy. by Charles Sheeran

Chapter 1 Linear Equations

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Cost of Ownership Considerations for Maskless Lithography

TINIUS OLSEN Testing Machine Co., Inc.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

THERMAL IMPEDANCE (RESPONSE) TESTING OF DIODES

Mathematics Review Revised: January 9, 2008

Thermal aspects of 3D and 2.5D integration

EXECUTIVE SUMMARY INTRODUCTION

In a radioactive source containing a very large number of radioactive nuclei, it is not

Cyanide Analysis with the CNSolution 3100

Thin Wafer Handling Challenges and Emerging Solutions

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

experiment3 Introduction to Data Analysis

Sealeze TM SSG515AT2D Static Dissipation Brush Performance in an Operational Environment

MIL-STD-750 NOTICE 5 METHOD

CHAPTER 3 PROBLEM DEFINITION AND OBJECTIVE

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

Sample Preparation. Approaches to Automation for SPE

Math 141:512. Practice Exam 1 (extra credit) Due: February 6, 2019

Mounts and Coordinate Systems

Social Studies 201 September 22, 2003 Histograms and Density

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials

Kinetics: Factors that Affect Rates of Chemical Reactions

Introduction to / Status of Directed Self- Assembly

New mathematics vocabulary for Year 1

Alternative deposition solution for cost reduction of TSV integration

Plasma Deposition (Overview) Lecture 1

Specific Heat. Power Supply Beaker Beam Balance Conecting wires ice. Assembly

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures

CONTINUE. Feeding Information for Boarded Pets. Fed only dry food 5. Fed both wet and dry food 11. Cats. Dogs

3. (1.2.13, 19, 31) Find the given limit. If necessary, state that the limit does not exist.

Specific Heat. Power Supply Beaker Beam Balance Conecting wires ice. Assembly

Fundamentals of Semiconductor Devices Prof. Digbijoy N. Nath Centre for Nano Science and Engineering Indian Institute of Science, Bangalore

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Chapter 3. Expressions and Equations Part 1

MATH 2070 Test 3 (Sections , , & )

MATHEMATICS Compulsory Part PAPER 1 (Sample Paper)

REVIEW: HSPA Skills 2 Final Exam June a) y = x + 4 b) y = 2x + 5 c) y = 3x +2 d) y = 2x + 3

Long-run Analysis of Production. Theory of Production

Unit 13 Review: Types of Bonding and Phase Changes (Yes, I know that the outline levels are doing stupid things partway through this document.

Microfabrication for MEMS: Part I

THE ECONOMICS OF DIE ATTACH VOIDING IN LED ASSEMBLIES

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Unit #4 : Interpreting Derivatives, Local Linearity, Marginal Rates

Early action simulations

HIGH THROUGHPUT TESTING OF ADHESIVES

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV

Background. A Transformation from Traditional College Algebra to Freudenthal College Algebra. Background. Traditional

Turn to Section 4 of your answer sheet to answer the questions in this section.

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

STATISTICAL APPROACH TO XPS ANALYSIS: APPLICATION TO NIOBIUM SURFACE TREATMENT

Coimisiún na Scrúduithe Stáit State Examinations Commission. Leaving Certificate Examination Sample Paper. Mathematics

SUPPLEMENTARY FIGURES

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Detection of trace contamination on metal surfaces using the handheld Agilent 4100 ExoScan FTIR

Exponential function review and practice Day 1

CMP 338: Third Class

Lecture Number - 01 Metals, Semiconductors and Insulators

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

MICROCHIP MANUFACTURING by S. Wolf

Pros and Cons of Water Analysis Methods

N/4 + N/2 + N = 2N 2.

Unit 4 Probability. Dr Mahmoud Alhussami

HOW TO USE THE SBDC FINANCIAL TEMPLATE

Supplementary Material (ESI) for Journal of Analytical Atomic Spectrometry This journal is The Royal Society of Chemistry 2010

TCAD Modeling of Stress Impact on Performance and Reliability

Adding and Subtracting Integers

Chapter 2 - Lessons 1 & 2 Studying Geography, Economics

VLSI Design I. Defect Mechanisms and Fault Models

Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters

Calculus. Applications of Differentiations (IV)

Using Microsoft Excel

Introducing GIS analysis

Regents of the University of California

For more information, please contact: or +1 (302)

Transcription:

A Cost and Yield Analysis of Wafer-to-wafer Bonding Amy Palesko amyp@savansys.com SavanSys Solutions LLC Introduction When a product requires the bonding of two wafers or die, there are a number of methods that may be used. Not only does the type of bonding process itself have to be selected, but it must also be determined whether the items being bonded will be in wafer or die form. This paper will focus on wafer-to-wafer bonding, which has the highest throughput compared to die-to-wafer and die-to-die bonding; it also has the potential to be the lowest cost option if proper yields are achieved. Bonding Methods There are a few choices to make when it comes to bonding method. Although this study focuses on wafer-to-wafer bonding, the alternatives (die-to-wafer and die-to-die) will also be covered briefly in this section. Note that a more detailed cost comparison of die-to-wafer and wafer-to-wafer bonding has already been carried out [1]. This new paper was developed to better understand the cost drivers of the wafer-to-wafer process, instead of only looking at the results as they compare to a die-to-wafer process. As stated in the introduction, the wafer-to-wafer process flow has some clear advantages. It has the highest throughput compared to the other two methods and allows for the greatest alignment accuracy. Arguably the most prominent disadvantage is yield. There is no ability to match known good locations on one die to known good locations on another die, so yield is a significant cost driver. Another potential disadvantage is that only die of the same size can be bonded, since the wafers are bonded together and only then diced. In direct contrast to the wafer-to-wafer yield disadvantage above, both the die-to-wafer and die-to-die process flows have a distinct yield advantage [2]. In both situations, known good die (KGD) can be utilized. In the die-towafer case, KGD can be placed on known good locations on the base wafer. In the die-to-die case, KGD can be bonded together. In either case, most bad die are being scrapped before any further cost is lost later in the process flow. Another difference is that, in both of these flows, different sized die can be bonded together, which can be seen as an advantage depending on application. The disadvantage for both of these processes is throughput. A wide variety of throughputs are achievable, depending on the size of the die and the alignment accuracy required. Nevertheless, despite there being a range of potential throughputs, wafer-to-wafer will still tend to have the highest throughput. Wafer-to-wafer Bonding Technology A generic wafer-to-wafer bonding cost model was built for this study; it includes enough variables to reflect different bonding methods in use by the industry today. A few of those methods are discussed in this section. One of the most common bonding methods is Cu-Cu thermocompression bonding. One advantage is that it s a well-understood and relatively mature process. Another major benefit is that the metal provides both a mechanical and electrical connection at the same time [3]. One of the drawbacks is that the bonding time is not short, and it requires both high pressure and high heat. The surface also has to be fairly uniform and flat before bonding. From a cost perspective, this means cost is added due to the requirement for preparation steps. Another option is direct bonding, also called fusion bonding. A few different processes fall into this category; the one of most interest for wafer-to-wafer bonding is probably plasma activated direct bonding. The throughput for the bonding process itself is much quicker than thermocompression. Traditional fusion bonding typically requires a high temperature anneal after the initial bonding step, but plasma activated solutions currently on the market reduce the temperature required during anneal, making this option attractive [4 ]. However, unlike thermocompression bonding which requires both heat and pressure to complete the bond, a high temperature anneal can be done on many wafers simultaneously in a low cost oven. One consideration to note is that having a

smooth, flat, and clean bonding surface is extremely important, which can drive up the cost of preparation steps [5]. Adhesive bonding is also an option, though it s not generally seen as the most popular choice in the industry today. It can however be a candidate for a variety of applications, such as MEMS, power devices, and microfluidics [6]. One benefit of adhesive bonding compared to the two methods above is that surface uniformity requirements are less stringent [5]. These separate methods will not be modeled directly because, rather than changing variables, some steps would have to be changed entirely (removed or inserted), and that level of adjustment to the model is outside the scope of this paper. Nevertheless, some inferences related to each bonding method can be drawn from the results. The primary variables that will be evaluated through sensitivity analysis and used for cost and yield trade-offs are: incoming wafer cost, incoming wafer defect density, time required for the bonding step, equipment cost for the bonding step, and the yield of the bonding process. Cost Model Activity based cost modeling was used to construct the generic wafer-to-wafer bonding cost model. With activity based cost modeling, a process flow is divided into a series of activities, and the total cost of each activity is calculated. The cost of each activity is determined by analyzing the following attributes: time required, amount of labor required, cost of material required (consumable and permanent), tooling cost, depreciation cost of the equipment, and yield loss associated with the activity. The generic wafer-to-wafer process flow can be described by the following steps/groupings of steps. Step(s) Incoming wafer data Preparation steps Bonding Dice Yield Description Cost and defect density are set for the top and bottom wafers Both wafers go through a variety of preparation steps (oxide removal, DI rinse, CMP, etch, and align); there are no variables in these steps The wafers are bonded (including an anneal step); the throughput and equipment cost of the bond step are variables There is an edge trim, then bonded wafers are diced This step accounts for the yield of the bond process only The costs explored in this study are all direct costs, which means no overhead to account for profit margin or indirect costs is applied. All results are presented as a cost per two-die stack (i.e. the cost of the two die that have been bonded together and then diced). In terms of factory assumptions, this flow assume a well-balanced and fully utilized line. All steps in the process flow are set to industry standard equipment, material, and throughput values. Sensitivity Analysis A sensitivity analysis was carried out on each of the variables to study the level of impact each has on the resulting bonded die cost. The assumptions used for these sensitivity analyses are presented in the following table. Unless otherwise stated, everything except for the variable being analyzed for sensitivity is the value stated below. Die size 10mm x 10mm Wafer cost $2000 Defect Density 0.3 defects per square cm Bond equipment cost $2M Bond equipment throughput 15 minutes per wafer Bond yield 98%

The impact of incoming wafer cost (graph below) is linear and relatively straight-forward. The impact is high because the cost of an incoming wafer is a large portion of the resulting die cost the wafer brings a cost with it, and then processing costs are added on top of that. It s essentially a huge material cost. The impact of equipment cost is similarly linear, but it has a much lower impact. The graph shows the impact on the resulting die cost when equipment changes from $1M to $4M, and the total difference is on the order of a few cents only. Bonding throughput has an impact similar to the that of equipment cost the change between spending 5 minutes per wafer (12 wafers per hour) or 30 minutes per wafer (2 wafers per hour) is only around ten cents. The final two sensitivity analyses are both yield factors one focuses on the defect density of the incoming wafer, and the other on the yield of the bonding process. Defect density is related to the quality of the incoming wafer and measured in defects per square centimeter. This is particularly important in wafer-to-wafer bonding because there are defects to consider on both the top and bottom wafers. Good, defect-free areas on one wafer may match up to bad locations on the other wafer. Defect density is a more important cost driver for a wafer-to-wafer bonding process than for any other type of bonding (e.g. die-to-wafer).

Bonding yield, on the other hand, is measured in percentage. This is related to the bonding process itself. Simple, clean bonding processes are likely to have higher yields, while those that introduce other elements heat, high pressure, or materials such as adhesives have the potential to reduce yield. While both defect density of the incoming wafers and the yield of the bonding process have an impact on the resulting die cost, defect density has a much greater impact. Changing from 0.1 to 0.5 defects per square cm essentially doubles the cost of the resulting bonded die. This means that working with high quality wafers is necessary to keep the cost of a wafer-to-wafer bonding process low. Cost and Yield Trade-offs Now that the impact each variable alone has on the die cost has been analyzed, a few scenarios were developed to study the impact of changing multiple variables at once. These can be used to mimic real life situations in which trade-offs related to either cost or yield must be made. Scenario 1 This is a very simple trade-off between equipment cost and throughput. The goal was to understand when it may make sense to pay more for increased throughput. This example uses an 8mm x 8mm die. Equipment Cost Throughput (min per wafer) Die Cost $1M 30 $7.7569 $3M 10 $7.7558 $3M 5 $7.7315 The first two rows show a situation where the resulting cost is barely affected when the cost of the equipment increases by a factor of 3, resulting in a lower throughput (improved by a factor of 3), the die cost is nearly identical. This is a situation in which either piece of equipment the cheap one with the slower throughput, or the more expensive one with quicker throughput would suffice. The third row shows the cost of the die if the same $3M piece of equipment was able to achieve an even faster throughput of 5 minutes per wafer (12 wph). In this case, the die cost is reduced, but only by about 2.5 cents. Comparing the first and third rows is an example of when it may not make sense to pay $2M extra for such an impressive throughput increase when the impact on the die cost is limited. Scenario 2 This scenario considers the relationship between the yield and the throughput of the bonding process. The assumption here is that a more expensive piece of equipment may be used that brings with it the capability to achieve higher yields at the expense of throughput. Throughput may be affected by factors such as the speed of the temperature ramp for a Cu-Cu thermocompression bond process or the necessity of applying pressure.

Bond Yield (%) Throughput (min per wafer) Equipment Cost Die Cost 94 5 $1M $18.587 95 10 $2M $18.440 96 15 $3M $18.329 97 20 $4M $18.252 Each row shifts from a lower yield associated with a cheaper piece of equipment and quick processing time to an improved yield that comes with more expensive equipment and slower processing. Each of these steps results in anywhere from 14 to 7 cents of improvement in spite of the increase in both equipment cost and processing time per wafer. This shows the importance of yield. Scenario 3 This is not a direct comparison in the same way as the previous scenarios, but a deeper look at the relationship between defect density and die size. The following chart shows changing defect densities for multiple die sizes. The main takeaway from this chart is that larger die sizes are more sensitive to changing defect density. This is because, when dealing with small die, a defect occurring only takes out a small part of the wafer a small die. When dealing with larger die, one defect can take out a larger portion of the wafer, therefore increasing cost more quickly. Conclusion There are a few conclusions that can be drawn from this analysis. The major takeaway is that wafer-to-wafer bonding is heavily dependent on defect density. For wafer-to-wafer to compete with processes that allow for use of KGD, the wafers must have a low defect density. This leads to a related conclusion that wafer-to-wafer bonding is particularly suitable for smaller die sizes, because sensitivity to defect density increases as die size increases. As for the impact of different cost drivers, both equipment cost and throughput were shown to have a limited impact. The impact of both of these variables is on the order of around 10 cents from one end of the range to the other. Incoming wafer cost had a very high impact, due to the fact that it is essentially one big material cost. The cost of the bonded die is most sensitive to the defect density of the incoming wafer, with die costs changing by multiple dollars as defect density worsens, while the yield of the bonding process also contributes on the level of 7 to 14 cents per percentage decrease.

References [1] A. Palesko, Cost and Yield Comparison of Wafer-to-Wafer, Die-to-Wafer, and Die-to-Die Bonding, IMAPS Symposium, 2014. [2] Q. Chen, A novel chip-to-wafer (C2W) three-dimensional (3D) integration approach using a template for precise alignment, Microelectronic Engineering, 2011. [3] EV Group, Wafer Bonding for 3D IC, http://www.evgroup.com/en/solutions/3d-ic/wafer_bonding, 2015. [4] E. Pabo, Enabling Technologies for 3D Integration Aligned Permanent Bonding and Temporary Bonding, EV Group, 3D System Integration Workshop, Georgia Tech, 2011. [5] P. Ramm, J. Lu, M. Taklo, Handbook of Wafer Bonding, pg. 101, 2012. [6] P. Soussan, 3D Heterogeneous Integration: Convergence Between Die Stacking and Wafer Bonding, a Fabrication Perspective, IMEC, ICRA 2013.