Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2])

Similar documents
MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Nanotechnology Fabrication Methods.

MSN551 LITHOGRAPHY II

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Nanomaterials and their Optical Applications

NANOTECHNOLOGY. Students will gain an understanding of nanoscale dimensions and nanotechnology.

Techniken der Oberflächenphysik (Techniques of Surface Physics)

SCME KIT OVERVIEW. Rainbow Wafer Kit

Lesson 4: Tools of the Nanosciences. Student Materials

Introduction to Photolithography

Current and Emergent Developments

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

29: Nanotechnology. What is Nanotechnology? Properties Control and Understanding. Nanomaterials

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M)

Nanoscale Issues in Materials & Manufacturing

Nanotechnology? Source: National Science Foundation (NSF), USA

There's Plenty of Room at the Bottom

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

Jeopardy Q $100 Q $100 Q $100 Q $100 Q $100 Q $200 Q $200 Q $200 Q $200 Q $200 Q $300 Q $300 Q $300 Q $300 Q $300 Q $400 Q $400 Q $400 Q $400 Q $400

Fabrication at the nanoscale for nanophotonics

Nanostructures Fabrication Methods

ESH Benign Processes for he Integration of Quantum Dots (QDs)

CSCI 2570 Introduction to Nanocomputing

Top down and bottom up fabrication

Final Reading Assignment: Travels to the Nanoworld: pages pages pages

Introduction to Scanning Probe Microscopy

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Nanotechnology where size matters

Nanotechnology: Today and tomorrow

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

EV Group. Engineered Substrates for future compound semiconductor devices

Dip-Pen Lithography 1

NANONICS IMAGING FOUNTAIN PEN

custom reticle solutions

Quantum Dots for Advanced Research and Devices

In the name of Allah

Nanotechnology. Gavin Lawes Department of Physics and Astronomy

Monolayer Semiconductors

Chapter 1 Introduction

Nanolithography Techniques

nmos IC Design Report Module: EEE 112

Microfabrication for MEMS: Part I

Introduction. Photoresist : Type: Structure:

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Seminars in Nanosystems - I

Nanoscale optical circuits: controlling light using localized surface plasmon resonances

Nanophysics: Main trends

Title Single Row Nano-Tribological Printing: A novel additive manufacturing method for nanostructures

Copyright 2001 Scientific American, Inc.

Nanotechnology. Yung Liou P601 Institute of Physics Academia Sinica

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman

IC Fabrication Technology

Quantum Dots: Applications in Modern. Technology

Electrochemically Synthesized Multi-block

NPTEL. Instability and Patterning of Thin Polymer Films - Video course. Chemical Engineering.

Title Single Row Nano-Tribological Printing: A novel additive manufacturing method for nanostructures

Unconventional Nano-patterning. Peilin Chen

MICRO AND NANOPROCESSING TECHNOLOGIES

CUSTOM RETICLE SOLUTIONS

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Nanobiotechnology. Place: IOP 1 st Meeting Room Time: 9:30-12:00. Reference: Review Papers. Grade: 40% midterm, 60% final report (oral + written)

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Overview of the main nano-lithography techniques

Photolithography II ( Part 1 )

Fabrication Technology for Miniaturization

SELF-ASSEMBLY AND NANOTECHNOLOGY A Force Balance Approach

How do scientists build something so small? Materials 1 pkg of modeling materials 1 piece of butcher paper 1 set of cards 1 set of markers

NNIN Nanotechnology Education

SYLLABUS FINDING NANO Syllabus NanoSCI DISCOVERING NANOTECHNOLOGY AND CULTURE IN GERMANY

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

ORION NanoFab: An Overview of Applications. White Paper

Chapter 12 - Modern Materials

NANOSCIENCE: TECHNOLOGY AND ADVANCED MATERIALS

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

A MEMS nanoplotter with high-density parallel dip-pen nanolithography probe arrays

Lecture 18: Microfluidic MEMS, Applications

National Science and Technology Council (NSTC) Committee on Technology

Recommended Courses by ECE Topic Area Graduate Students

There s plenty of room at the bottom! - R.P. Feynman, Nanostructure: a piece of material with at least one dimension less than 100 nm in extent.

Fabrication of ordered array at a nanoscopic level: context

CHAPTER 11 Semiconductor Theory and Devices

Measurements of interaction forces in (biological) model systems

Nanoimprint Lithography

Scanning Tunneling Microscopy

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

TECHNICAL INFORMATION. Quantum Dot

Emerging nanopatterning

DQN Positive Photoresist

Lecture 8. Photoresists and Non-optical Lithography

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

CHEM 6342 Nanotechnology Fundamentals and Applications. Dieter Cremer, 325 FOSC, ext ,

Research to Improve Photovoltaic (PV) Cell Efficiency by Hybrid Combination of PV and Thermoelectric Cell Elements.

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

Nano Materials and Devices

1. Introduction : 1.2 New properties:

CORE MOLIT ACTIVITIES at a glance

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Transcription:

Top-Down vs. Bottom-Up Nanomanufacturing Prof. Derek J. Hansford, Biomedical Engineering Program and Department of Materials Science & Engineering Learning Objectives: This module should expose the student to the following: Methods, strengths, and limitations of fabricating nanometer-scale structures using lithography and patterning ( top-down ) Methods, strengths, and limitations of fabricating nanometer-scale structures using selfassembly and selective growth ( bottom-up ) Current uses of both top-down and bottom-up nanomanufacturing techniques Introduction There is an enormous amount of interest in controlling matter at the micron (10-6 m) and nanometer (10-9 m) scales, known as microtechnology and nanotechnology, respectively. In fact, an entire directorate within the federal government has been set up to explore the use of nanotechnology for U.S. industry [1]. For reference, Figure 1 (from [2]) shows a chart of structures from human/ organismal scale (~m) down to atomic scale (0.1 nm, or Ångstrom). By manipulating matter at these scales, technology has greatly advanced in terms of being able to miniaturize components, control and optimize material properties, harness biological components for novel function, and add intelligence (i.e. circuitry) to many various structures. In general, concepts in energy and function become one basic science at the nanometer scale, as opposed to separate branches of chemistry, physics, and biology, allowing new ways of coupling energy for completely novel functions. Fabrication of nanometer scale devices and structures can generally be separated based on whether they are machined ( top-down ) or assembled at the atomic level ( bottom-up ). Top-down manufacturing is what we are most familiar with at large scales, from building skyscrapers and automobiles to cutting and sewing together fabric to make clothes. Bottom-up approaches are more difficult to Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2]) conceive at the larger scale, due to their dependence on atomic scale energies to drive the assembly. An example at the large scale would be self-aligned attachment of circuits to a circuit board due to the capillary forces of molten solder. In general, top-down approaches are labor and energy intensive but can yield high-precision structures repeatedly, while bottom-up approaches

occur inexpensively but with much less precision and accuracy. Both approaches to nanomanufacturing have been developed intensively within the past two decades based on advances in tools for fabrication and characterization of objects at the nano-scale. Current Top-Down Methods The largest current use of nanotechnology in industry is in microelectronics. The history of microelectronics fabrication is based on lithographic (from lithos = stone, graph = to write) fabrication at the micron scale, and is collectively called microfabrication. Microfabrication in general applies the same concepts in manufacturing that larger scale manufacturing processes employ: starting with a material with controlled properties, portions are removed or selectively added in precise locations in order to fabricate a finished product. Lithography is a term derived from the ancient method of etching stone in which the desired pattern would be carved in a wax coating on the stone and acid would etch the exposed portions of the stone. Top-down fabrication techniques are based on the approach of selectively removing material in exposed patterns, hence the use of the term. However, because the patterns being transferred to the underlying layers are so minute, the wax mask layer cannot be patterned by hand, so techniques for patterning a mask layer using light have been developed, known as photolithography. Photolithography takes advantage of the use of large, uniform fields of light shining through a pattern (mask or reticle) to expose large areas or entire wafers to a microscopic pattern simultaneously, yielding a high number of devices in a short period of time. This parallel fabrication process is the main reason that semiconductor processing has become so extremely economical. The entire process of microfabrication of even the simplest electronic circuit is beyond the scope of this introduction, so we will focus on the method of producing such small patterns, photolithography. If you are interested in studying the entire process of micro- and nanofabrication, please consult one of the several textbooks available, such as those listed in the References section [3]. The basic process of photolithography is based on the exposure of a uniform, thin, lightsensitive polymer layer (known as photoresist ) to a pattern of high energy light, causing the polymer to change its chemical nature. The two different types of photolithography are separated by how the light is patterned: contact (or proximal) photolithography employs a system of optics to produce a uniform field of light, and the pattern is produced by exposing the photoresist to a patterned metal layer on a glass plate; projection photolithography uses similar optics and reticles, but then projects the pattern of light through further optical systems to reduce the size of the pattern. Both of these techniques are therefore limited to the size of pattern that can be produced by the optics of the system, with the general rule of thumb that features can only be produced that are ~½ the wavelength of light used for exposure. Therefore, to achieve the first generation of nano-scale transistors, optics have been developed that use excimer lasers (λ = 193nm) and other tricks (e.g. phase shift reticles) to produce the 90 nm transistors in the most advanced Itanium 2 processors already on the market. Current Bottom-Up Methods The other approach to fabricating structures at the nano-scale is to harness self-assembly and growth mechanisms from nature and use them in a controlled fashion. There are innumerable examples of structures that occur naturally that derive most of their function from nano-scale ordering and energy coupling. The simplest example of a naturally occurring nano-structure is the cell membranes that compose all living organisms higher than viruses. The self-organized structure of a cell membrane is based on the duel nature of the molecules that compose them, the

lipids. Lipids have portions that are both attracted (hydrophilic) and repulsed (hydrophobic) by water, so when these molecules are placed in an aqueous environment, it is energetically favorable for them to automatically organize into structures that limit the exposure of the hydrophobic portions of the molecules to water. With simple, single-chain lipids, this results in very small spheres, called micelles. The lipids that comprise most cell membranes are more complex, and are able to organize into a bi-layer structure in which the hydrophobic portions of the molecules are sandwiched between the hydrophilic portions of two layers of the molecules. In fact, almost all biochemical structures have nano-scale function derived from a selforganization, and a large amount of research is currently going into techniques to harness the benefits of this organization and function. There are many non-biological nano-structures that occur in nature or can be produced in a laboratory as well, all based on a minimization of energy at the atomic scale. Some ceramic oxide crystals, zeolites, have an open crystal structure with nano-scale pores through the crystal itself, and can be used as molecular sieves for filtering applications. A family of nanostructures that have received quite a bit of publicity is the carbon-based Buckminsterfullerenes ( Buckyballs ) and carbon nanotubes. These occur naturally in soot, but were unknown until the tools to detect and characterize them were developed. Current research related to these structures includes investigation into controlling their formation, characterizing their physical properties, and developing methods to handle and manipulate them. Examples of nanostructures developed in the laboratory that exhibit a specific optical property based on their coupled electrical and optical properties are quantum dots. Quantum dots are nano-scale semiconductor crystals that fluoresce at a specific wavelength of light based on their physical dimensions and their electronic properties. They are produced by self-organized molecules (organometallics) in solution, in which the organic component of the assembly is then removed to leave a semiconducting nanocrystal. These structures have advantages over currently used fluorescent molecules in that they do not photobleach (lose their fluorescence) and can be developed with any emission wavelength of interest. Background for working in this field Fabricating structures using top-down approaches at the micro- and nanometer scales requires an intense knowledge of materials chemistry and/or physics, as well as other possible technical areas such as optics, plasma physics, organic chemistry, electron physics, and mechanics, as examples. Bottom-up methods also require a strong knowledge base of chemistry, with other possible technical areas of organic chemistry, quantum physics or chemistry, and biochemistry. Both methods are highly interdisciplinary, and many practitioners in these fields are more familiar with the application areas, and become skilled in the necessary fields as needed. Limitations and Research in Top-Down Methods One of the major problems facing the microelectronics industry is in the fabrication of smaller and smaller transistors, to the point that current technology is pushing the limits of the optical techniques used for defining the transistors. Therefore, the semiconductor industry has invested heavily in developing new methods for patterning structures at the nanometer scale. Some approaches have attempted to improve the optics for lower wavelengths light, and has achieved some success. For next generation lithography, optics have been (and continue to be) developed for x-ray systems, which should be able to pattern in the single nanometer scale.

However, for photons as energetic as x-rays, there is no good material to serve as a reticle, so further advances in the reticle fabrication are being investigated. Another approach that has already been employed for the fabrication of masks and devices at the nanometer scale is the use of electrons instead of photons to pattern the masking material, known as e-beam lithography. Currently, this is a serial process (i.e. the electron beam has to be steered to each location to be patterned on each wafer) and is therefore very time and energy intensive, so it is only used for high value devices and research. There is current research looking at methods of using e-beam lithography in parallel fashion to lower its cost of use. Other techniques being developed for nanolithography include the use of Scanning Probe Microscopes (SPMs) to directly write patterns on a surface, either by electrochemical oxidation of a surface at the tip of the probe, or through a process that combines top-down writing with bottom-up self-assembly, known as Dip Pen Nanolithography (DPN) [4]. DPN can be thought of as using the nanometer sharp probe from an SPM as a fountain pen a solution with a molecule to be patterned is wetted onto the probe, and in the locations where the probe touches a surface, the molecules are transferred to the surface. Other techniques to overcome the physical limits that are approaching include changes in the architecture of the processors (more towards 3D and self-assembling structures), inclusion of nano-rods and nano-tubes of various materials that provide unique properties, and designing fault-tolerant circuitry (using fuzzy logic) so self-assembly limitations can be accommodated. Limitations and Research in Bottom-Up Methods The basic approach in using bottom-up fabrication techniques is to design a system of molecules or structures that, when placed in a system with the ability to equilibrate, will selfassemble into the desired structures. There are many molecules that exhibit this behavior, such as the lipids discussed above that comprise cell membranes, and current research continues to understand the full spectrum of this self-assembling behavior and develop design rules for controlling the process. The main limitation of bottom-up methods for fabrication is the limited scope of formation of the structures without flaws. For example, a zeolite crystal has atomically accurate and consistent pore sizes, but the size of the overall crystal generally is limited to a few cubic millimeters, limiting their use for sieving purposes. This limitation means that bottom-up methods are quite good for fabricating small single devices, but very limited in building largescale structures for interacting with the outside world. There is much interest in deriving appropriate models for the formation of nano-structures based on processing parameters so that larger scale devices can be constructed, or so the nanostructures can be grown in specific locations with specific properties. There is a growing body of research looking at extracting self-assembled or biologically fabricated structures from nature and using them for engineered purposes. The field of biomineralization has shown much promise in the formation of nano-scale materials through either confined growth (for example, growing magnetite crystals within a vacuole of a bacterium) or self-limiting reactions. Other research is looking at methods for using biological nano-structures for completely non-natural purposes, such as growing quantum dots within viral shell protein structures. Research in Combinations of Top-Down and Bottom-Up Methods Most researchers and industry analysts do not see the future of nanomanufacturing in terms of strictly top-down or bottom-up approaches, but rather extracting the best components of each to produce extremely functional devices. An industrial example comes from the

semiconductor transistor, in which the shrinking dimensions are nearing the point at which the standard silicon dioxide (which is in the single nanometer size range) will break down and allow too much current. For the next generation of transistors, then, it is necessary to use a material with a higher dielectric constant, and a material of choice are nanocomposites created by selfassembly sol-gel processes, which allows control of material properties across wider ranges. The research involved in this process is coming up with a process that provides an extremely uniform dielectric film across the entire 300mm wafer. Another technique that draws on both top-down and bottom-up techniques is soft lithography. Soft lithography is a suite of techniques in which a single master structure/pattern is created on a wafer, a daughter mold is cast off the master using a rubber resin that is cross-linked to produce a rubbery stamp, and is used to literally stamp molecules or thin films repeatedly onto substrates. These rubber stamps can be used for stamping single layers of molecules, which can be designed to self-assemble on the substrate, producing stable surfaces with different properties than the bulk material without affecting the morphology of the underlying material. This is quite literally a stamping process, including the rubber materials used for Figure 2: Example of control of cell growth with single layer of molecules: (grey insets and background) cells with orthogonal shapes based on growing within hydrophilic regions; (lower left inset) molecular stain image of stamped molecules (OTS) making the stamps, which are identical to the materials used to make stamps for large-scale ink stamping. Figure 2 demonstrates this effect on cells that would otherwise grow in a continuous film (with extended shapes along a single axis) across a glass slide, but due to the stamped monolayer of molecules, grow into unnaturally-shaped orthogonal cells that conform to the pattern of the molecular layer. Conclusions The field of nanotechnology is still in a relatively infantile state compared to other technologies. Much of the current research is dedicated to developing techniques for the fabrication of nano-devices, and most researchers use both top-down and bottom-up based fabrication techniques, depending on what is being fabricated. The applications of nanotechnology are amazingly diverse, and we can expect that the fabrication techniques developed by different disciplines will lead to a plethora of techniques for both top-down and bottom-up fabrication. References for further study (some not explicitly cited in text) [1] For example, look at: www.nano.gov [2] CMP Científica, Nanotech: The Tiny Revolution, white paper, July 2002, available online at http://www.cientifica.com/html/docs/nor_white_paper.pdf [3] M Madou, Fundamentals of Microfabrication, 2 nd Ed., CRC Press, 2001; S Wolf and RN Tauber, Silicon Processing for the VLSI Era (3 volumes), Lattice Press; M Ohring, The Materials Science of Thin Films, 2 nd Ed., Academic Press, 1998

[4] A Ivanisevic and CA Mirkin, Dip Pen Nanolithography on Semiconductor Surfaces, J. Am. Chem. Soc. 2001, 123, 7887-7889, available online at http://pubs.acs.org/isubscribe/journals/jacsat/123/i32/pdf/ja010671c.pdf [5] Intel nanotechnology research at http://www.intel.com/research/silicon/nanotechnology.htm Questions for discussion 1. Give five examples of top-down fabrication and five examples of bottom-up fabrication in current industry. 2. Give the minimum feature that could be patterned using photolithography with the individual colors in the visible spectrum. 3. Using simple minimization of energy arguments, develop some simple rules that would drive self-assembly of structures and molecules. Make sure you define all of the relevant energy terms. Can you develop systems independent of water that would provide the requirements for self-assembly? 4. Search for research articles under the phrase nanotechnology using several library literature search engines. Do the engineering databases return the same types of research articles as the medicine databases? Compare the number of articles that were published in the last two years to the number published five and six years ago. 5. Given that you are assigned to design a fabrication protocol for a transistor based on a carbon nanotubes, come up with a fabrication protocol based on top-down fabrication methods and a separate protocol based on bottom-up methods. Can you come up with an easier method that uses both?