VLSI Design, Fall Logical Effort. Jacob Abraham

Similar documents
ECE429 Introduction to VLSI Design

EE 447 VLSI Design. Lecture 5: Logical Effort

Lecture 6: Logical Effort

Introduction to CMOS VLSI Design. Lecture 5: Logical Effort. David Harris. Harvey Mudd College Spring Outline

Logical Effort. Sizing Transistors for Speed. Estimating Delays

Lecture 8: Combinational Circuit Design

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Very Large Scale Integration (VLSI)

7. Combinational Circuits

Introduction to CMOS VLSI Design. Logical Effort B. Original Lecture by Jay Brockman. University of Notre Dame Fall 2008

Lecture 8: Logic Effort and Combinational Circuit Design

Interconnect (2) Buffering Techniques. Logical Effort

Logic Gate Sizing. The method of logical effort. João Canas Ferreira. March University of Porto Faculty of Engineering

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

Lecture 5: DC & Transient Response

Chapter 4. Digital Integrated Circuit Design I. ECE 425/525 Chapter 4. CMOS design can be realized meet requirements from

Lecture 12 CMOS Delay & Transient Response

EE115C Digital Electronic Circuits Homework #5

Lecture 5. Logical Effort Using LE on a Decoder

Digital Integrated Circuits A Design Perspective

EE5780 Advanced VLSI CAD

Lecture 4: DC & Transient Response

Lecture 6: DC & Transient Response

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing

Lecture 5: DC & Transient Response

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Lecture 7: Multistage Logic Networks. Best Number of Stages

EE141. Administrative Stuff

Lecture 6: Circuit design part 1

Integrated Circuits & Systems

University of Toronto. Final Exam

Lecture 9: Combinational Circuit Design

EECS 151/251A Homework 5

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

EE115C Digital Electronic Circuits Homework #6

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration

Lecture 1: Gate Delay Models

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Static CMOS Circuits. Example 1

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9/18/2008 GMU, ECE 680 Physical VLSI Design

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

Logic effort and gate sizing

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Homework Assignment #5 EE 477 Spring 2017 Professor Parker

Lecture 8: Combinational Circuits

Lecture 8: Combinational Circuits

5. CMOS Gate Characteristics CS755

Lecture 14: Circuit Families

EE115C Digital Electronic Circuits Homework #4

Digital Integrated Circuits A Design Perspective

Logical Effort EE141

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

EE371 - Advanced VLSI Circuit Design

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

Lecture 9: Combinational Circuits

Estimating Delays. Gate Delay Model. Gate Delay. Effort Delay. Computing Logical Effort. Logical Effort

EE141Microelettronica. CMOS Logic

Arithmetic Building Blocks

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2)

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Delay and Power Estimation

Digital EE141 Integrated Circuits 2nd Combinational Circuits

Properties of CMOS Gates Snapshot

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

ENEE 359a Digital VLSI Design

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 141: SPRING 09 MIDTERM 2

Digital Microelectronic Circuits ( ) Logical Effort. Lecture 7: Presented by: Adam Teman

EECS 141: FALL 05 MIDTERM 1

CMPEN 411. Spring Lecture 18: Static Sequential Circuits

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

CPE/EE 427, CPE 527 VLSI Design I L18: Circuit Families. Outline

Announcements. EE141-Spring 2007 Digital Integrated Circuits. CMOS SRAM Analysis (Read/Write) Class Material. Layout. Read Static Noise Margin

EE141-Fall 2011 Digital Integrated Circuits

Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Lecture 7 Circuit Delay, Area and Power

Chapter 2 DESIGN OF ENERGY EFFICIENT DIGITAL CIRCUITS

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

Floating Point Representation and Digital Logic. Lecture 11 CS301

EE 330 Lecture 6. Improved Switch-Level Model Propagation Delay Stick Diagrams Technology Files - Design Rules

Bit-Sliced Design. EECS 141 F01 Arithmetic Circuits. A Generic Digital Processor. Full-Adder. The Binary Adder

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Transcription:

6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 / 35 Review: Sizing Transistors Size the transistors in the following circuit so that it has the same drive strength (current), in the worst case, as an inverter with p-channel width of 3 and an n-channel width of 2 units. Use the smallest integer widths to achieve this ratio. Write down the size next to each transistor. ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 / 35

6. Logical Effort 2 Review: Sizing Transistors Size the transistors in the following circuit so that it has the same drive strength (current), in the worst case, as an inverter with p-channel width of 3 and an n-channel width of 2 units. Use the smallest integer widths to achieve this ratio. Write down the size next to each transistor. ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 2 / 35 Review: Example of Elmore Delay Calculation Calculate the Elmore delay from C to F in the circuit. The widths of the pass transistors are shown, and the inverters have minimum-sized transistors Delay = R 3 9C + R 3 5C + ( R 3 + R 3 ) 7C + 3RC = 2.33RC ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 3 / 35

6. Logical Effort 3 Review: Elmore Delay Calculation Use the Elmore delay approximation to find the worst-case rise and fall delays at output F for the following circuit. The gate sizes of the transistors are shown in the figure. Assume NO sharing of diffusion regions, and the worst-case conditions for the initial charge on a node. Input for worst-case rise delay = Worst-case rise delay = Input for worst-case fall delay = Worst-case fall delay = ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 4 / 35 Example: Delay with Different Input Sequences Find the delays for the given input transitions (gate sizes shown in figure) Assumptions: diffusion capacitance is equal to the gate capacitance, the resistance of an nmos transistor with unit width is R and the resistance of a pmos transistor with width 2 is also R, and NO sharing of diffusion regions Off-path capacitances can contribute to delay, and if a node does not need to be charged (or discharged), its capacitance can be ignored ABCD = 00 ABCD = 0 ABCD = ABCD = 0 ABCD = 00 ABCD = 0 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 5 / 35

6. Logical Effort 4 Delay with Different Input Sequence, Cont d Look at the charges on the nodes at the end of the first input of the sequence; only the capacitances of the nodes which would change with the second vector need to be considered ABCD = 00 ABCD = 0; Delay = 36RC ABCD = ABCD = 0; Delay = 6RC ABCD = 00 ABCD = 0; Delay = 43RC ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 6 / 35 Delay Components Delay has two parts Parasitic Delay 6 or 7 RC Independent of Load Effort Delay 4h RC Proportional to load capacitance ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 7 / 35

6. Logical Effort 5 Contamination Delay Minimum (Contamination) Delay Best-case (contamination) delay can be substantially less than propagation delay Example, If both inputs fall simultaneously Important for hold time (will see later in the course) t cdr = (3 + 2h)RC ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 8 / 35 Introduction to Logical Effort Chip designers have to face a bewildering array of choices What is the best circuit topology for a function? How many stages of logic give least delay? How wide should the transistors be? Logical effort is one method to make these decisions Uses a simple model of delay Allows back-of-the-envelope calculations Helps make rapid comparisons between alternatives Emphasizes remarkable symmetries ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 9 / 35

6. Logical Effort 6 Example Design the decoder for a register file Decoder specifications 6 word register file Each word is 32 bits wide Each bit presents load of 3 unit-sized transistors True and complementary address inputs A[3:0] Each input may drive 0 unit-sized transistors Need to decide How many stages to use? How large should each gate be? How fast can decoder operate? ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 0 / 35 Delay in a Logic Gate Express delay in a process-independent unit τ = 3RC d = d abs τ Delay has two components: d = f + p 2 ps in 80 nm process 40 ps in 0.6 µm process Effort delay, f = gh (stage effort) g: Logical Effort Measures relative ability of gate to deliver current g for inverter h: Electrical Effort=C out /C in Ratio of output to input capacitances, sometimes called fanout effort Parasitic delay, p Represents delay of gate driving no load Set by internal parasitic capacitance ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 / 35

6. Logical Effort 7 Delay Plots d = f + p = gh + p What about NOR2? ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 2 / 35 Computing Logical Effort Logical effort is the ratio of the input capacitance of a gate to the input capacitance of an inverter delivering the same output current Measure from delay vs. fanout plots Or, estimate by counting transistor widths ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 3 / 35

6. Logical Effort 8 Catalog of Gates Logical Effort of common gates Gate type Number of inputs 2 3 4 n Inverter NAND 4/3 5/3 6/3 (n+2)/3 NOR 5/3 7/3 9/3 (2n+)/3 Tristate/Mux 2 2 2 2 2 XOR, XNOR 4,4 6,2,6 8,6,6,8 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 4 / 35 Catalog of Gates Parasitic delay of common gates In multiples of p inv ( ) Gate type Number of inputs 2 3 4 n Inverter NAND 2 3 4 n NOR 2 3 4 n Tristate/Mux 2 4 6 8 2n XOR, XNOR 4 6 8 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 5 / 35

6. Logical Effort 9 Example: Ring Oscillator Estimate the frequency of an N-stage ring oscillator Logical Effort: g = Electrical Effort: h = Parasitic Delay: p = Stage Delay: d = 2 Frequency: f osc = /(2 N d) = /4N 3 stage ring oscillator in 0.6 µm process has frequency of 200 MHz ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 6 / 35 Example: FO4 Inverter Estimate the delay of a fanout-of-4 (FO4) inverter Logical Effort: g = Electrical Effort: h = 4 Parasitic Delay: p = Stage Delay: d = 5 The FO4 delay is about: 200 ps in a 0.6µm process 60 ps in a 80 nm process f/3 ns in a f µm process (f/3 ps in a f nm process) ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 7 / 35

6. Logical Effort 0 Example Problem A particular technology node has a FO4 delay of 9 ps. How many minimum size (2:) inverters need to be included in a ring oscillator so that the frequency is close to 7.3 GHz? FO4 delay = 5RC = 9ps Stage delay = 6RC = 3.6ps f = 2 N d = N = 2 f d = 2 7.3 3.6 0 3 = 9.05 Number of inverters = 9 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 8 / 35 Example Problem A particular technology node has a FO4 delay of 9 ps. How many minimum size (2:) inverters need to be included in a ring oscillator so that the frequency is close to 7.3 GHz? FO4 delay = 5RC = 9ps Stage delay = 6RC = 3.6ps f = 2 N d = N = 2 f d = 2 7.3 3.6 0 3 = 9.05 Number of inverters = 9 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 8 / 35

6. Logical Effort Example Problem A particular technology node has a FO4 delay of 9 ps. How many minimum size (2:) inverters need to be included in a ring oscillator so that the frequency is close to 7.3 GHz? FO4 delay = 5RC = 9ps Stage delay = 6RC = 3.6ps f = 2 N d = N = 2 f d = 2 7.3 3.6 0 3 = 9.05 Number of inverters = 9 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 8 / 35 Example Problem A particular technology node has a FO4 delay of 9 ps. How many minimum size (2:) inverters need to be included in a ring oscillator so that the frequency is close to 7.3 GHz? FO4 delay = 5RC = 9ps Stage delay = 6RC = 3.6ps f = 2 N d = N = 2 f d = 2 7.3 3.6 0 3 = 9.05 Number of inverters = 9 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 8 / 35

6. Logical Effort 2 Multistage Logic Networks Logical effort generalizes to multistage networks Path Logical Effort, G = g i Path Electrical Effort, H = C out path C in path Path Effort, F = f i = g i h i Can we write F = GH in general? ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 9 / 35 Consider Paths that Branch G = H = 90 / 5 = 8 GH = 8 h = (5 +5)/5 = 6 h2 = 90/5 = 6 F = g g 2 h h 2 = 36 = 2GH ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 20 / 35

6. Logical Effort 3 Branching Effort and Multistage Delays Branching Effort accounts for branching between stages in path b = C on path + C off path C on path B = b i (Note : h i = BH) Now, path effort, F = GBH Multistage Delays Path Effort Delay, D F = f i Path Parasitic Delay, P = p i Path Delay, D = d i = D F + P ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 2 / 35 Designing Fast Circuits D = d i = D F + P Delay is smallest when each stage bears same effort ˆf = g i h i = F N Thus, the minimum delay of an N-stage path is D = NF N + P This is a key result of logical effort Find fastest possible delay Doesn t require calculating gate sizes ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 22 / 35

6. Logical Effort 4 Gate Sizes How wide should the gates be for the least delay? ˆf = gh = g C out C in = C ini = g ic outi ˆf Working backward, apply capacitance transformation to find input capacitance of each gate given load it drives Check work by verifying input capacitance specification is met ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 23 / 35 Example: 3-stage Path Select gate sizes x and y for least delay from A to B ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 24 / 35

6. Logical Effort 5 Example: 3-stage Path, Cont d Logical Effort G = (4/3)*(5/3)*(5/3) = 00/27 Electrical Effort H = 45/8 Branching Effort B = 3 * 2 = 6 Path Effort F = GBH = 25 Best Stage Effort ˆf = 3 F = 5 Parasitic Delay P = 2 + 3 + 2 = 7 Delay D = 3*5 + 7 = 22 = 4.4 FO4 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 25 / 35 Example: 3-stage Path, Cont d Work backward for sizes y = 45 * (5/3) / 5 = 5 x = (5*2) * (5/3) / 5 = 0 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 26 / 35

6. Logical Effort 6 Best Number of Stages How many stages should a path use? Minimizing number of stages is not always fastest Example: drive 64-bit datapath with unit inverter D = NF N + P D = N(64) N + N ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 27 / 35 Example of a Derivation Using the Logical Effort Equations Consider adding inverters to end of path How many give least delay? n D = NF N + p i + (N n )p inv i= D N = F N lnf N + F N + pinv = 0 Define best stage effort, ρ = F N p inv + ρ( lnρ) = 0 The above equation has no closed form solution Neglecting parasitics (setting p inv = 0), we find ρ = 2.78 (e) For p inv =, solve numerically for ρ = 3.59 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 28 / 35

6. Logical Effort 7 Sensitivity Analysis How sensitive is delay to using exactly the best number of stages? 2.4 < ρ < 6 gives delay within 5% of optimal We can be sloppy For example, use ρ = 4 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 29 / 35 Decoder Example: Number of Stages 6 word, (32 bit) register file Each bit presents load of 3 unit-sized transistors True and complementary address inputs A[3:0] Each input may drive 0 unit-sized transistors Find: number of stages, sizes of gates, speed Decoder effort is mainly electrical and branching Electrical Effort: H = (32*3)/0 = 9.6 Branching Effort: B = 8 If we neglect logical effort (assume G = ) Path Effort: F = GBH = 76.8 Number of Stages: N = log 4 F = 3. Try a 3-stage design ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 30 / 35

6. Logical Effort 8 Decoder: Gate Sizes and Delay Logical Effort: G = * 6/3 * = 2 Path Effort: F = GBH = 54 Stage Effort: ˆf = F 3 = 5.36 Path Delay: D = 3 ˆf + + 4 + = 22. Gate sizes: z = 96*/5.36 = 8 Gate sizes: y = 8*2/5.36 = 6.7 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 3 / 35 Decoder: Comparison Compare many alternatives with a spreadsheet Design N G P D NAND4-INV 2 2 5 29.8 NAND2-NOR2 2 20/9 4 30. INV-NAND4-INV 3 2 6 22. NAND4-INV-INV-INV 4 2 7 2. NAND2-NOR2-INV-INV 4 20/9 6 20.5 NAND2-INV-NAND2-INV 4 6/9 6 9.7 INV-NAND2-INV-NAND2-INV 5 6/9 7 20.4 NAND2-INV-NAND2-INV-INV-INV 6 6/9 8 2.6 ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 32 / 35

6. Logical Effort 9 Review of Definitions Term Stage Path Number of stages N Logical effort g G = g i Electrical effort Branching effort h = Cout C in b = C on path+c off path C on path H = C out path C in path B = b i Effort f = gh F = GBH Effort delay f D F = f i Parasitic delay p P = p i Delay d = f + p D = d i = D F + P ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 33 / 35 Method of Logical Effort. Compute path effort F = GBH 2. Estimate best number of stages N = log 4 F 3. Sketch path with N stages 4. Estimate least delay D = NF N + P 5. Determine best stage effort ˆf = F N 6. Find gate sizes C in = g ic out f Limits of logical effort Chicken and egg problem Need path to compute G But, don t know number of stages without G Simplistic delay model, neglects input rise time effects Interconnect Iteration required in designs with significant wires Maximum speed only Not minimum area/power for constrained delay ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 34 / 35

6. Logical Effort 20 Summary of Logical Effort Logical effort is useful for thinking of delay in circuits Numeric logical effort characterizes gates NANDs are faster than NORs in CMOS Paths are fastest when effort delays are 4 Path delay is weakly sensitive to stages, sizes But using fewer stages doesn t mean faster paths Delay of path is about log 4 F FO4 inverter delays Inverters and NAND2 best for driving large caps Provides language for discussing fast circuits, but requires practice to master ECE Department, University of Texas at Austin Lecture 6. Logical Effort Jacob Abraham, September 20, 207 35 / 35