Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Similar documents
Modeling of MEMS Fabrication Processes

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Regents of the University of California

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Reactive Ion Etching (RIE)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

ETCHING Chapter 10. Mask. Photoresist

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm


Wet and Dry Etching. Theory

Section 3: Etching. Jaeger Chapter 2 Reader

Etching: Basic Terminology

Chapter 7 Plasma Basic

Profile simulation of gas chopping based etching processes

Chapter 7. Plasma Basics

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF4/O2

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

b. The displacement of the mass due to a constant acceleration a is x=

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Device Fabrication: Etch

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Chapter 3 Engineering Science for Microsystems Design and Fabrication

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Etching Capabilities at Harvard CNS. March 2008

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Deuterium and fluorine radical reaction kinetics on photoresist*

NSC E

Characterization and Modeling of Pattern Dependencies and Time Evolution in Plasma Etching

Edgeless sensors for full-field X-ray imaging

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Low Temperature Bonding Using In-Situ Radical Activation. Tony Rogers & Nick Aitken

Carrier Transport by Diffusion

process dependencies in nanoimprint

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

SUPPLEMENTARY FIGURES

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

CVD: General considerations.

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Gas utilization in remote plasma cleaning and stripping applications

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

LECTURE 5 SUMMARY OF KEY IDEAS

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Introduction to Plasma Etching

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Plasma Deposition (Overview) Lecture 1

DQN Positive Photoresist

Physique des plasmas radiofréquence Pascal Chabert

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

Tolerance analysis for comb-drive actuator using DRIE fabrication

DOE WEB SEMINAR,

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

EE C245 ME C218 Introduction to MEMS Design Fall 2010

CHAPTER 6: Etching. Chapter 6 1

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

A Pendulous Oscillating Gyroscopic Accelerometer Fabricated Using Deep-Reactive Ion Etching

Atomic Fluorine Beam Etching Of Silicon And Related Materials

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs.

Taurus-Topography. Topography Modeling for IC Technology

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

ELECTRON-cyclotron-resonance (ECR) plasma reactors

EE-612: Lecture 22: CMOS Process Steps

Profile simulations of gas chopping etching processes

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Lecture 18: Microfluidic MEMS, Applications

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

MICROMECHANICAL TEMPERATURE SENSOR

Advances in Back-side Via Etching of SiC for GaN Device Applications

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Transcription:

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006

Coping with spatial variation in DRIE Why non-uniformity is a problem Controlling uniformity with the mask design Characterizing tools performance Wafer-to-wafer effects Improving the mask design process 2

Non-uniformity problems in MEMS Aim: remove imbalance in MIT Microengine rotor ~10 mm SEM picture of turbine blades Mask layout e.g.: A.H. Epstein et al., Proc. Transducers 97 3

Non-uniformity problems in MEMS also: embossing stamp fabrication for microfluidics manufacture Si stamp Thermoplastic polymer Cover perhaps too: avoiding footing during SOI etch-through 4

Inductively-coupled plasma in DRIE chamber cross-section vacuum chamber ~10-100 mtorr gas inlet wafer plasma X ~ r.f. supply to excite plasma to wafer load lock chuck exhaust ~ independent control of ions acceleration towards wafer 5

Time-multiplexed Bosch processing C 4 F 8 passivation SF 6 etch mask: e.g. SiO 2, photoresist X C 4 F 8 ~ every ~10 s S a F b+, F SF 6 ~ X ~ ~ Journal of The Electrochemical Society, 146 (1) 339-349 (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and 4241045C1 (Germany) (1994) 6

Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 7

Strategies for improving uniformity Force etching to be reaction-limited Lower chamber pressure Wafer cooling Conservative mask design Improved tool design Relate mask design directly to non-uniformity Design mask according to desired uniformity Perturb etch rates constructively 8

Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F x 9

Previously observed chamber-scale variation 1% 5% 1 20% 81 position index 70% 95% pattern density test patterns H.K. Taylor et al., submitted for publication 10

Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 11

Previously observed pattern-dependent variation Average pattern density 5% throughout Localized to differing extents H.K. Taylor et al., submitted for publication 12

A two-level model, tuned for each tool + recipe characterization wafers characterization wafers A B filter magnitude radial distance + 2 scalar variables two-level model H. Sun et al., Proc. MEMS 05 + work submitted for publication 13

Basis for chamber-scale model? ion flux, ion J i J i Silicon siliconsilicon F Neutral neutral flux, J n J n adsorbed Adsorbed neutrals neutrals lateral transport J i (x, y) C(x, y) generation, recombination R: etch rate Θ: surface coverage ke i : activity constant for ions vs 0 : activity constant for radicals R = kθe J i i R = vs0( 1 Θ) J n 1 = 1 + 1 J R keij i vs0 n Synergism model: Gottscho et al., J Vac Sci Tech B 10 2133 (1992). Right: H.K. Taylor et al., submitted for publication Consumption: J n (x, y) C: fluorine concentration G: fluorine generation rate ρ: wafer-average pattern density C = α τ Gτ [ ρ + α ( 1 ρ) ] 1 1 2 + rate ct. selectivity loading mask silicon 14

Basis for chamber-scale model? X Assuming: A, J i B, G n + 2 scalar variables ion flux independent of etched pattern F generation (G) independent of etched pattern neutral flux (J n ) F concentration F concentration depleted as loading increases F concentration depends on G H.K. Taylor et al., submitted for publication 15

Time-multiplexed Bosch processing C 4 F 8 passivation SF 6 etch mask: e.g. SiO 2, photoresist X C 4 F 8 ~ every ~10 s S a F b+, F SF 6 ~ X ~ ~ Journal of The Electrochemical Society, 146 (1) 339-349 (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and 4241045C1 (Germany) (1994) 16

Accounting for spontaneity in Si etching 5 SF 6 etch with no passivation steps usual condition for recipe used SF 6 ~ x S a F b+, F platen power ~ Etch rate cut by ~15% when ion flux minimized But spontaneous etching is readily incorporated into map A: R R ( ke J c) i i + ( ) 0 Θ J n = Θ = vs 1 17

Accounting for time-multiplexing T tot flow rate T etch SF 6 T pass T Si-etch C 4 F 8 time Non-uniformity of CF x flux may matter Ion flux definitely important (T strip ) Ion flux important to an extent (T Si-etch ) 18

tot Time-multiplexing model R Si is the etch rate our previous model would have predicted. Overall, modified rate prediction: Tpassk passj CF R x ( ) Si Tetch T R J Si etchrsi Si Tetch T strip ik strip R' = = = T T T tot If we define a = T etch /T tot, p = J i k strip, q = k pass J CFx, we have: tot R' = [ a( p + q) q] p R Si R = ma + c with m = (p + q)r Si /p and c = qr Si /p. 19

Rate of passivation removal (R ) Here, etch step only just removes passivation ρ = 1% ρ = 40% ρ = 95% ρ = 5% qr Si /p (p + q)r Si /p Basic recipe (a) 20

(Short-term) memory effect in chamber Thermal diffusivity of aluminum ~ 10-4 m 2 /s Over 5, characteristic length ~0.17 m Over 30, characteristic length ~0.42 m 5 5 0.1% 5% 99.9% 5% several cycles 21

(Short-term) memory effect in chamber 0.1% 5 5% 99.9% several cycles 5 5% 0.1% 30 5% 99.9% 30 5% several cycles 22

Pattern component of memory effect? 5% 5 X Reference 5% (B) after Y Reference 5% (A) after X 5% A 5% 5% 5 Y B 5% (even) X 5% (concentrated into eighth) Y 23

Pattern component of memory effect? 5% (even) X Reference 5% (B) after Y Reference 5% (A) after X 5% (concentrated into eighth) Y Etch rate difference A B (μm/min) 0.07 0.065 0.06 0.055 0.05 0.045 0.04 0.035 0.03 5 10 15 20 25 30 Diametrical position /4 mm 24

Putting two-level model into action discretized mask design + scalar constants two-level model takes a few seconds to run drafting software refine mask design highlight problems on-screen 25

CAD tool for nonuniformity prediction Die-scale variation Chamber-scale variation Combined prediction Discretized mask design Ali Farahanchi 26

Acknowledgements Ali Farahanchi, Tyrone Hill, Hongwei Sun and Duane Boning Leslie Lea, Janet Hopkins and Nick Appleyard (Surface Technology Systems Ltd.) Ciprian Iliescu and Franck Chollet Singapore-MIT Alliance Cambridge-MIT Institute 27