Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Similar documents
Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

The SMART Process for Directed Block Co-Polymer Self-Assembly

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

SEMATECH Knowledge Series 2010

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry

Introduction to / Status of Directed Self- Assembly

Impact of Pellicle on Overlay in Double Patterning Lithography

Resist material for negative tone development process

Critical Dimension Uniformity using Reticle Inspection Tool

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Introduction. Photoresist : Type: Structure:

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Sensors and Metrology

Characterization of Optical Proximity Correction Features

Mask induced polarization effects at high NA

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2

Accurate and Reliable Optical CD of MuGFET down to 10nm

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Sensors and Metrology. Outline

Photolithography II ( Part 1 )

Development status of back-end process for UV-NIL template fabrication

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Process window analysis for contact hole shrinking: A simulation study

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

THE UNIVERSITY OF CHICAGO THE IMPACT OF SUBSTRATE INTERACTION IN DIRECTED SELF-ASSEMBLY OF SYMMETRIC DIBLOCK COPOLYMER THIN FILMS

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Three Approaches for Nanopatterning

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Top down and bottom up fabrication

Supporting Online Material for

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Lecture 8. Photoresists and Non-optical Lithography

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Supplementary Materials for

High Optical Density Photomasks For Large Exposure Applications

Lecture 14 Advanced Photolithography

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

EUVL Readiness for High Volume Manufacturing

Resist-outgas testing and EUV optics contamination at NIST

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Chromeless Phase Lithography (CPL)

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

Tilted ion implantation as a cost-efficient sublithographic

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Electron-beam SAFIER process and its application for magnetic thin-film heads

Development of Lift-off Photoresists with Unique Bottom Profile

Supporting information:

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Nanoimprint Lithography

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Nanostructures Fabrication Methods

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Photolithography 光刻 Part II: Photoresists

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Important challenge for the extension of Spacer DP process

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Hybrid Wafer Level Bonding for 3D IC

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

We published the text from the next page.

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

A Reticle Correction Technique to Minimize Lens Distortion Effects

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Transcription:

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas CA 95035, USA b imec, Kapeldreef 75, B-3001 Leuven, Belgium ABSTRACT Directed self-assembly (DSA) is being actively investigated as a potential patterning solution for future generation devices. While SEM based CD measurement is currently used in research and development, scatterometry-based techniques like spectroscopic CD (SCD) are preferred for high volume manufacturing. SCD can offer information about sub-surface features that are not available from CD-SEM measurement. Besides, SCD is a non-destructive, high throughput technique already adopted in HVM in several advanced nodes. The directed self assembly CD measurement can be challenging because of small dimensions and extremely thin layers in the DSA stack. In this study, the SCD technology was investigated for a 14 nm resolution PS-b-PMMA chemical epitaxy UW process optimized by imec. The DSA stack involves new materials such as cross-linkable polysterene (XPS) of thickness approximately 5 nm, ArF immersion resist (subsequently removed), -OH terminated neutral brush layer, and BCP material (Polystyrene-blockmethyl methacrylate of thickness roughly 20 to 30 nm). The mask contains a large CD and pitch matrix, for studying the quality of self-assembly as a function of the guide pattern dimensions. We report on the ability of SCD to characterize the dimensional variation in these targets and hence provide a viable process control solution. Keywords: Directed self-assembly metrology, Scatterometry, SCD 1. INTRODUCTION DSA is being actively investigated as a potential solution for future generation devices. SEM is used for CD measurement in R&D, but scatterometry-based techniques are preferred for high throughput measurement in HVM. In this investigation, we studied the characterization of an IMEC DSA chemo-epitaxy process using SCD technology (scatterometry). The L/S pattern was investigated at the post PMMA removal step as well as the post etch step, where the BCP pattern was transferred into underlying the SiN as well as into the Si substrate. In a previous work, implementation of the UW chemo-epitaxy process (Figure 1) in a 300mm wafer flow has been demonstrated.[2] A thin (~5nm) cross-linkable polystyrene (X-PS) layer is coated on an SiN substrate wafer. Subsequently, ArF immersion lithography provides an 84nm pitch pre-pattern targeted at ~38nm CD. Next, a plasma etch step trims the CD to ~18nm and simultaneously etches through the uncovered X-PS layer. The resist is selectively stripped using a wet process. Next, an -OH-terminated neutral brush is coated over the X-PS pattern. During the subsequent anneal bake, the OH group grafts to the SiN substrate, but not to the X-PS guide stripes. A solvent rinse is used to remove excess brush material, yielding the chemical pre-pattern. A 28nm L0 lamellar phase poly(styrene-bmethyl methacrylate) BCP material is coated over it and thermally annealed, resulting in 28nm pitch line/space structures (3X frequency multiplication). Finally, a dry plasma process affords selective removal of the PMMA blocks from the BCP to give free standing polystyrene (PS) lines. Metrology, Inspection, and Process Control for Microlithography XXVIII, edited by Jason P. Cain, Martha I. Sanchez, Proc. of SPIE Vol. 9050, 90502U 2014 SPIE CCC code: 0277-786X/14/$18 doi: 10.1117/12.2046647 Proc. of SPIE Vol. 9050 90502U-1

Figure 1: imec (UW) chemo-epitaxy processs flow 2. EXPERIMENTAL 2.1 Materials Cross-linkable poly(styrene) (X-PS, AZEMBLY NLD128) ), hydroxyl-terminated poly(styrene-random-methyl methacrylate) (P(S-r-MMA)-OH) brush (AZEMBLY NLD127), and poly(styrene-block-methyl methacrylate) (PS-b- PMMA, AZEMBLY PME312) BCP with Lo = 28nm, were synthesized by AZ Electronic Materials and were used as received. ArF immersion photoresist AIM5484, was purchased from JSR Micro. Organic solvent RER600 was purchased from Fujifilm and Orgasolv STR 301 was obtained from BASF. 2.2 Processess The process used for this experiment is based on our previously reported method for the fabrication of chemical nanopatterns, and readers are referred to Reference #1 for details[1]. The mask used in this experiment consisted of scatterometry pads of size 60x60 um 2 with various pitch and CD for the guide pattern. The DSA process was optimized for 84 nm Pitch and 35 nm CD. We investigated pads of various dimensions as indicated in Table1. Figure 2: image of scatterometry pads Proc. of SPIE Vol. 9050 90502U-2

Table1: Line & Pitch CD matrix for guide pattern at the scatterometry pads 2.3 Metrology For spectroscopic CD (SCD) analysis, the tool utilized in this study is KLA-Tencor SpectraShape TM with spectroscopicc ellipsometry and reflectometry options. Data were collected from the ellipsometry channel, and at two azimuth angles relative to the grating direction. Model fitting was performed using AcuShape TM 3 scatterometry modeling software. 3. RESULT AND DISCUSSION 3.1 Modeling and fitting result for PMMA removal As a first step, we coated bare Si wafers with individual thin materials as described in Section 2.1, and measured ellipsometric spectra were used to generate index and extinction (n and k) spectra for the materials. These n and k spectra were used to build the model for the post-pmma removal process step. We observed that the DUV wavelength range (<250 nm) provided better measurement performance due to higher material contrast in the UV wavelength range. An effective medium model (EMA) was used to in place of the polymer brush and XPS patterned structure (Figure 3). This model tracked the expected process variation very well. Figure 3: Post-PMMA removal model Proc. of SPIE Vol. 9050 90502U-3

Since the DSA process and BCP chain length are optimized for a given pitch and CD of the guide pattern, non-optimal guide pattern dimensions could result in defective patterns. For example, Pad # 25 (Pitch = 86nm and CD= 28nm) had dislocation clusters (Figure 5). The DUVSE spectra at 90 and 180deg azimuth angles had a clear signature that indicatedd either good or defective BCP assembly. The spectra from Azimuth angle 90 degrees and 180 degrees should be as distinct as in Figure 4 when the directed self assembly process is in control. Figure 4: Good model fit with DUVSE spectra at Pad# 13 (Pitch =84nm and CD =35nm) Figure 5: Poor model fit with DUVSE spectra at Pad# 25 (Pitch =86nm and CD =28nm) 3.2 Within wafer variation of BCP line shape for PMMA removal We observed that the chi-square model fit metric on CD27nm pads was worse than on other pads, within the group with pitch of 84nm, and at all 9 measured sites (Figure 6). The within-wafer chi square pattern agreed with SEM review images (cf. Figure 7), which identified dislocation clusters for CD27nm sites. This provides a quick method to assess the quality of DSA and identify poorly yielding regions. Figure 6: Model fit result (chi-square) at 9 sites (Pad #s 3,8,13,18,23; pitch 84nm group) Proc. of SPIE Vol. 9050 90502U-4

Figure 7: SEM review images for 9 sites and Pad #s 3,8,13,18,,23; pitch 84nm group) Dislocation clusters were found at CD27nm Pads (indicated by black outline) We quantified the BCP shape variation (Figure 8) among the pitch 84 nm pad group (except the CD27nm pads); this group of targets was expected to have a good quality DSA pattern. Our EMA model for the chemical guide pattern layer gave a very good correlation between the nominal XPS CD and the EMA fraction (Figure 9). This indicatedd the potential of the model and measurement to track small details in the structure. The variation of BCP dimensional parameters was very small within the 9 sites measured on the wafer (Figure 10). Figure 8: Model for PMMA removal with parameters defined Proc. of SPIE Vol. 9050 90502U-5

Figure 9: Correlation between XPS CD and EMA fraction Figure 10: Trend of BCP shape parameters for pitch 84nm targets 3.3 Modeling and fitting result for post etch The post-etch model allowed for the presence of a small top SiN hard mask residual layer (Figure 11). We used the full wavelength range for model fitting to get stable analysis results. Again as in the pre-etch case, the difference in spectra between 90 and 180 degrees azimuth angles was correlated to the quality of the DSA. Examples are shown Figure 12 for a good fit at Pad #13 and Figure 13 for a poor fit at Pad #21. Proc. of SPIE Vol. 9050 90502U-6

Figure 11: Post etch model Figure 12: Good model fit with spectra at Pad #13 (pitch =84nm and CD =35nm) Figure 13: Poor fit with spectra at Pad #21 (pitch =82nm and CD =26nm) 3.2 Within wafer variation of line shape for post-etch We made measurements in the 25 pads in 9 locations distributed across the wafer as indicated in Fig 14. We observed that chi-square model fit results in some pads being worse than for several other pads. The chi-square model fit result has a very good correlation with SEM review images as shown in Figure 15, whichh show dislocation clusterss at the higher chi-square sites. Again as in the pre-etch case, optical measurements show promise for rapid assessment of the quality of DSA and for identifying poorly yielding regions. Proc. of SPIE Vol. 9050 90502U-7

Figure 14: Model fit result (Chi square) by Pad# for 9 sites of wafer at all 25 Pads Figure 15: SEM review images of 25 pads at wafer center Dislocation clusters were found at several pads We quantified Si line shape variation (cf. Figure 16) using the sitess that have a lower chi-square, since they are expected to have a good quality DSA formation. In this case, the variation of the line pitch and Si trench depth agree with the expected trends (Figure 17). Interestingly, a donut type signature was observed in the CD measurement wafer maps (Figure 18) which was later confirmed to be in agreement with a known etch process characteristic. The cross section and CD-SEM data also match well with wafer signature observed in SCD measurement (Figure 19). Proc. of SPIE Vol. 9050 90502U-8

Figure 16: Model for post etch with parameters defined e -+-C -MR -A-MT E-ML IMB R tt -L 30 27 C 24 pp 21 18 4e.4 7 18 19 2021 22 23 24 25 15 Pad # 1 2 3 4 5 6 7 8 9 10 1112 13 14 15 16 17 1F8 19 20 21 22 23 24 25 0 I# 1 2 3 4 5 6 7 8 det 7 18 19 2021 22 23 24 25 tc -MR -A-MT fml -0-R tt -L 8 20 19 18 O E 17 16 15 Pad # o 1 2 3 4 5 6 7 8 9 10 1112 13 14 15 16 17 1F8 19 20 21 22 23 24 25 Figure 17: Trend of Line shape parameters at low chi-square pads from 9 sites of wafer Figure 18: Wafer map of Si Line shape parameters at Pad #13 (CD35nm, Pitch84nm) Proc. of SPIE Vol. 9050 90502U-9

Figure19: Cross section images and CD-SEM measurement result Figure20: Pattern inspection result (2915 KLA-Tencor broadband plasma wafer inspection tool) The donut type signature was also observed in the pattern inspection result by the 2915 KLA-Tencor broadband plasma wafer inspection tool (Figure 20) ). We may conclude that flat microbridge defect can appear at deeper and wider trenches. We observed good correlation between the programmed pattern pitch and measured pitch (averaged from the center 5points of wafer) (Figure 21). 27.5 28 28.5 XPS line piitch / 3 (nm) Figure21: Correlation between programmed pattern pitch and measured pitch (center 5 points of wafer) Proc. of SPIE Vol. 9050 90502U-10

4. CONCLUSIONS Scatterometry shows promise for characterizing process variation in directed self assembly line/space structures at the PMMA-removal process step. The DUV wavelengths are most sensitive for shape metrology. The difference in spectroscopic ellipsometry data as a function of illumination azimuth angle can be used to quickly assess the quality of DSA and identify poorly yielding regions. Post-etch measurements demonstrated good correlation with the programmed guide pattern pitch variation. Good correlations were found between SCD measurement and a known etch signature. And good correlations were found between SCD measurement and defect wafer map. 5. ACKNOWLEDGMENTS The authors would like to thank Paulina Delgadillo Rincon from Imec for wafer preparation, Chikashi Ito and Ryota Harukawa from KLA-Tencor for SEM images, pattern inspection and helpful discussions. 6. REFERENCES [1] Paulina Rincon Delgadillo, Ryota Harukawa, Mayur Suri, Stephane Durant, Andrew Cross, Venkat R Nagaswami, Roel Gronheid, Paul Nealey,." Defect source analysis of directed self-assembly process (DSA of DSA) ", Proc. SPIE 8680, Alternative Lithographic Technologies V, 86800L (March 26, 2013); [2] Rincon Delgadillo, P. A., Gronheid, R., Thode, C. J., Wu, H. W., Cao, Y., Somervell, M., Nafus, K., Nealey, P. F., "All track directed self-assembly of block copolymers: process flow and origin of defects," Proc. SPIE 8323, 83230D (2012). Proc. of SPIE Vol. 9050 90502U-11