Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model

Similar documents
Plasma Technology September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

ETCHING Chapter 10. Mask. Photoresist

DOE WEB SEMINAR,

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

Reactive Ion Etching (RIE)

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

FINAL REPORT. DOE Grant DE-FG03-87ER13727

Etching: Basic Terminology

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

MODELING PLASMA PROCESSING DISCHARGES

Chapter 7 Plasma Basic

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

65 th GEC, October 22-26, 2012

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Chapter 7. Plasma Basics

Section 3: Etching. Jaeger Chapter 2 Reader

Trench filling by ionized metal physical vapor deposition

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Deuterium and fluorine radical reaction kinetics on photoresist*

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES

Plasma Deposition (Overview) Lecture 1

Plasma etching. Bibliography

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma Chemistry and Kinetics in Low Pressure Discharges

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

Introduction to Plasma Etching

The Role of Secondary Electrons in Low Pressure RF Glow Discharge

FRAUNHOFER IISB STRUCTURE SIMULATION

LECTURE 5 SUMMARY OF KEY IDEAS

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Control of Ion Energy Distributions on Plasma Electrodes

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

A global (volume averaged) model of a chlorine discharge

CVD: General considerations.

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled plasmas

CHAPTER 6: Etching. Chapter 6 1

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

UC Berkeley UC Berkeley Electronic Theses and Dissertations

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Introduction to Thin Film Processing

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Plasma atomic layer etching using conventional plasma equipment

4 Modeling of a capacitive RF discharge

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Etching Capabilities at Harvard CNS. March 2008

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

PIC-MCC simulations for complex plasmas

Gas utilization in remote plasma cleaning and stripping applications

Application of Rarefied Flow & Plasma Simulation Software

Physique des plasmas radiofréquence Pascal Chabert

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Chemical Vapor Deposition (CVD)

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Hiden EQP Applications

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Transcription:

Plasma Team s Focus Couple models at various scales to predict profile evolution Build even stronger interactions between PIs and sponsors Key Projects Develop fast algorithms to determine energy/angular dist. Develop fundamental models for plasma-surface interactions Develop predictable profile simulator for etch and deposition Plasma species energy and angular distribution Plasma species energy and angular distribution Lieberman PIC-MCC Reactor Scale Model Graves Beam and MD Molecular dynamics validation Chang Beam and DSMC IMPACT 1 Feature profile evolution and control Sponsors

2009 IMPACT Workshop Faculty Presentation: Plasma Prof. Michael A. Lieberman Emi Kawamura Electrical Engineering, UC Berkeley Prof. John P. Verboncoeur Ying Wang Nuclear Engineering, UC Berkeley Prof. Jon T. Gudmundsson University of Iceland IMPACT 2 11/02/2009

Current Milestones Develop fast algorithms to determine the energy and angular distributions of energetic ions on the wafer surface. Validate with fluid and particle-in-cell simulations and/or experiments. Example: Alan Wu, M.A. Lieberman and J.P. Verboncoeur, A model for computing ion energy distributions in multi-frequency capacitive discharges, J. Appl. Phys. Vol. 101, pp. 056105, 2007 IMPACT 3 11/02/2009

Verification of High Voltage RF Capacitive Sheath Models with Particle-in-Cell (PIC) Simulations (Wang) V rf Capacitive Sheath Models [1] P abs Frequency ω Ions Gas Pressure 1D Particle-In-Cell Simulations p L Given the external parameters of a single-frequency capacitively coupled discharge, plasma parameters including sheath width, electron and ion temperature, plasma density, power, and ion bombarding energy can be estimated. XPDP1 [2] (Plasma Device 1 Dimensional Bounded Electrostatic PIC code using C compilers ) and OOPD1 [3] (Object Oriented Plasma Device 1 Dimensional PIC code using C compilers) are used to investigate plasma behaviors within rf sheaths and bulk plasma. n Φ n i n F n e Ti [1] M. A. Lieberman, IEEE Trans. Plasma Sci. 16 (1988) 638; 17 (1989) 338 [2] J. P. Verboncoeur, M. V. Alves, V. Vahedi, and C. K. Birdsall, J. Comp. Phys. 104 (1993) 321 [3] J. P. Verboncoeur, A. B. Langdon and N. T. Gladd, Comp. Phys. Comm. 87 (1995) 199 s Γ i Γ F T e x V rf ~ ε i x IMPACT 4

Collisionless Sheath Model (Wang) Verified by XPDP1, capacitive discharge driven by a single frequency current source I(t)=I rf cos(2лft). Electron-neutral collisions are considered here, while ionneutral and neutral-neutral collisions are not considered. Density vs. Position External parameters: I rf = 2.3A f = 13.56MHz Pressure = 3mTorr Length= 0.1m 250 Time-averaged Density (m -3 ) 3.00E015 2.00E015 1.00E015 0.00E000 Time-averaged ion density Time-averaged electron density Area = 0.1m 2 0.00 0.02 0.04 0.06 0.08 0.10 Potential vs. Position 1.60E012 Position (x) Ion Energy Distribution Time-averaged Potential (V) 200 150 100 50 IED (1/eV) 1.20E012 8.00E011 4.00E011 0.00E000 0 0.00 0.02 0.04 0.06 0.08 0.10 Position (m) 0 100 200 300 400 500 Energy (ev) IMPACT 5 Theory agrees with the simulation results very well.

Collisional Sheath Model (Wang) Verified by OOPD1, capacitive discharge driven by a single frequency voltage source V(t)=Vrfcos(2лft). Electron-neutral and ion-neutral collisions are considered here. The sheath is weakly collisional. External parameters: Vrf = 176V; f = 81MHz; P = 20mTorr; L= 0.024m; Area = 0.00503m2 Ion mean free path is a function of energy: Here is the mean free path at a reference value of the ion velocity. p is a variable parameter that represents the dependence of ion-neutral collision cross section on ion velocity. Sheath Voltage Vs(t) is time-varying. Since rf frequency f rf is 80MHz and ion plasma frequency f pi is 4MHz, f rf >>f pi : ions can be considered as responding to the time average sheath voltage 69.5V. Further work needs to be done for f rf <f pi and f rf f pi ; oscillating sheath edge. IMPACT 6 ( ) p 0 0 IED (1/eV) IED (1/eV) 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0 10 20 30 40 50 60 70 Energy (ev) 0.10 0.08 0.06 0.04 0.02 2 x 10 3 IED from Theory Averaged ion bombarding energy is 67.92V. Here we use 0 IED from PIC Averaged ion bombarding energy is 68.47V. 0.00 0 10 20 30 40 50 60 70 80 90 100 Ion Energy (ev) 0 0.949 ( 69.51)

oopd1 PIC-MC (Gudmundsson) The oopd1 particle-in-cell Monte Carlo (PIC-MC) code is used to simulate a capacitively coupled discharge in oxygen oopd1 is a one-dimensional object-oriented PIC-MC code in which the model system has one spatial dimension and three velocity components The revised oxygen model includes, in addition to electrons, the oxygen molecule in ground state, the oxygen atom in ground state, the negative ion O -, and the positive ions O and O 2 Oxygen atoms and O ions were neglected in earlier PIC-MC models We intend to explore the ion energy distribution (IED) and ion angular distribution (IAD) in a capacitively coupled discharge as a function of pressure and driving frequency for both O and O 2 ions IMPACT 7 11/02/2009

Ion and electron energy (Gudmundsson) Full reaction set includes O and O, while limited reaction set neglects O and O and its reactions The EEPF is calculated assuming a full reaction set (red line) shows much more depletion of high energy electrons There ion energy of O 2 is shifted to lower energy when using a limited reaction set IMPACT 8 11/02/2009

Ion energy of O and O 2 (Gudmundsson) The ion energy distribution for the oxygen ion O 2 at 20 mtorr shows distinct peaks that are caused by charge-exchange collisions in the sheath The ion energy distribution for the oxygen ion O at 20 mtorr is broad and continuous as has been observed experimentally by Janes and Huth (Appl. Phys. Lett. 61, 261 (1992)) IMPACT 9 11/02/2009

COMSOL 2D TCP Fluid Model (Kawamura) (Joint with D.B. Graves) n e vs. P abs at Discharge Center T e vs. P abs at Discharge Center n gcl vs. P abs at Discharge Center n gcl2 vs. P abs at Discharge Center Develop fast 2D TCP model w/both inductive and capacitive coupling. Simulation ~ 30 min. Ar, 70 min. Cl 2 on 2.2 GHz CPU, 4GB RAM system. Compare model to Malyshev & Donnelly (2000-2001) data for pure Cl 2 TCP reactor. (10 mtorr, 100 sccm, 13.56 MHz w/ P abs = 5-800 W.) IMPACT 10 11/02/2009

More COMSOL Simulation Results (Kawamura) Inductive Coupling (W/m 3 ) Capacitive Coupling (W/m 3 ) Gas Temperature (K) Cl Molar Fraction More COMSOL results for 10 mtorr Cl 2 plasma with P abs =740 W. Inductive coupling dominates over capacitive coupling at high P abs. Gas Temperature rises from 300 K at walls to about 785 K in reactor. Cl molar fraction 76% (Cl 2 gas mostly dissociated at high P abs ). IMPACT 11

Future Milestones Develop fast algorithms to determine the energy and angular distributions of energetic ions, fast neutrals, secondary electrons, and photons on the wafer surface. Validate with particle-in-cell simulations and/or experiments. Provide energy and angular distributions as input to the feature profile simulator. IMPACT 12 11/02/2009

2009 IMPACT Workshop Plasma-Surface Interactions in Nanoscale Feature Shape Evolution Faculty: David B. Graves Student(s): J. Vegh, D. Nest, Monica J. Titus Department of Chemical Engineering University of California at Berkeley IMPACT 13

Current Milestones Expose 193 nm photoresist with beams of ions and photons under vacuum conditions; Compare beam and plasma exposure results Include LER/LWR of patterned substrates Use beam and plasma experiments to understand roughening mechanisms IMPACT 14

The Tools ICP Chamber: Top-Down View To Neutral Mass Spec. Vacuum Beam System: Side View Ar 104.8 and 106.7 nm Total VUV Flux VUV Spec. OES 250 nm thick PR Sample 1 cm 2 Ion Flux Ion Current Probe Load-Lock Port To Roughing Pump H 2 O In H 2 O Out Faraday Cup VUV Spec. Sample Ion Source VUV Source Plasma Stability Plasma Chemistry To Ion Mass Spec. Ion Composition ~ Products RF Bias Ion Energy Langmuir Probe: n e, T e, Φ p H 2 O Out H 2 O In To Turbo Pump Power (W) Ф p (ev) Temp ( C) Ar ICP Conditions Average Bias Voltage (V) VUV Flux ( x 10 15 cm -2 s -1 ) Ion Flux ( x 10 15 cm -2 s -1 ) Photon to Ion Flux Ratio 25 16.2 50 ~105 0.45 ± 0.02 1.44 ± 0.06 0.31 Vacuum Beam Conditions Base Pressure: 5 x 10-8 Torr Sample Temperature: 20 100 C Ion Source: 150 ev Ar (Commonwealth) VUV Source: Ar & Xe (Resonance) 70 15.8 20 100 0-220 2.87 ± 0.25 5.51 ± 0.34 0.52 150 15.8 50 ~105 7.87 ± 0.64 12.7 ± 0.48 0.62 IMPACT 15 11/02/2009

Results: Simultaneous Ion & VUV Exposure C=O (Lactone) & CH 2 /CH 3 Remaining Fractions 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 Beam C=O Lactone CH 2 /CH 3 FTIR Analysis Plasma Beam Plasma 0.0 4.0x10 17 8.0x10 17 1.2x10 18 1.6x10 18 Ion Fluence (cm -2 ) RMS Roughness (nm) 6 Plasma Beam 5 4 3 2 1 0 AFM Analysis 0.0 4.0x10 17 8.0x10 17 1.2x10 18 1.6x10 18 Ion Fluence (cm -2 ) ICP Ar ICP, 10 mt, 70W 5 s 300 s 120 ev Ar 50 C Vacuum Beam Xe VUV Source 150 ev Ar, 1 x 10 18 cm -2 65 C IMPACT 16 11/02/2009

Effects of Ion Energy on PR Roughening RMS Roughness (nm) 8 7 6 5 4 3 2 Constant Exposure Time: 300 s Vary Bias Voltage No Crosslinking Little Crosslinking Crosslinked 1 (a) 0 0 50 100 150 200 250 300 Ion Energy (ev) Vacuum Beam Experiment Results: Threshold energy ~ 70eV for roughening and crosslinking Onset of crosslinking and roughening at 70 ev suggests that the formation of a crosslinked layer is necessary for roughening to occur. As ion energy increases, the etching rate increases; therefore the rate of crosslinking must be greater than the rate of etching. IMPACT 17 11/02/2009

Effects of Substrate Temperature Constant Exposure Time: 300 s Constant Bias Voltage: 120eV or 215 ev RMS Roughness (nm) 12 Plasma (120 ev Ar ) Plasma (220 ev Ar ) 10 Beam (150 ev Ar, Ar VUV) Beam (150 ev Ar, Xe VUV) 8 6 4 2 Results: Monotonic increase in roughening with temperature - ICP and vacuum beam. ICP case (120 ev Ar & Ar VUV) agrees well with vacuum beam case (150 ev Ar & Xe VUV or Ar VUV) 0 20 40 60 80 100 Temperature ( C) IMPACT 18 11/02/2009

Effects of Substrate Temperature, continued 10.0nm 0.0 nm Results: Surface morphology transitions from pebble/granular shape to yarn-like features with increasing temperature. Chemical modification (FTIR results) are independent of temperature. No variation in C = O and CH 2 /CH 3 loss. IMPACT 19 11/02/2009

Roughening of Patterned Samples 0 min 5 min 10 min 60 min 8.3E16 (ions/cm2) 1.7E17 (ions/cm2) 1.0E18 (ions/cm2) Simultaneous (IonVUV) VUV Only Ion Only 100nm 100nm 100nm 4.0E16 (photons/cm2) 8.0E16 (photons/cm2) 4.8E17 (photons/cm2) Results: Increased roughening observed with simultaneous ion and VUV exposures. Sidewall striations appear after 60 min of processing. Preliminary investigations: 193 nm PR patterned samples are processed in the vacuum beam system with ion-only, VUV-only, or simultaneous conditions. IMPACT 20 11/02/2009

Future Milestones Extend beam VUV studies to low-k dielectrics Examine patterned photoresist samples in ICP plasma Examine low k dielectric samples in ICP plasma IMPACT 21

2009 IMPACT Workshop Student(s): John Hoang, Nathan Marchack, Calvin Pham Faculty: Prof. Jane P. Chang Title: Feature Profile Evolution of Deposition and Etching using a Mixed Layer Kinetics Model IMPACT 22 11/02/2009

Motivation Back End of Line (BEOL) Via etch: top view Gas flow rate Cu ionized PVD Profile evolution Henrik Schumacher, CMOS Chip Structure. www.wikimedia.org Front end of Line (FEOL) Current Generation MOSFET Structure Porous Low k NiSi, NiSi(Pt) Metal Selective High k Gate Etch High k Poly SiO 2 High k Si After Poly Etch Poly SiO 2 Singer, Peter, Semi. Int. 2008 TEM Micrography of Current Generation High k Devices High k Adapted from http://finepolymers.com/feol-cleans SiO 2 Si SiO 2 After High k Etch Braun, A.E. Semi. Int. (2000) Auth, C. et al. Intel Tech. Journal (2008) Stringent control of feature shape and geometry as dimensions decrease Lower process and development cost by predicting profile evolution IMPACT 23 11/02/2009

2009 Main Objective Plasma 3. Simulation of Feature Profile Evolution Use experimental beam systems to measure the pertinent kinetics parameters, such as sticking and recombination coefficients and formulate reaction mechanisms to be incorporated in a Monte Carlo simulator to account for surface evolution, especially with competing etching/deposition processes Currently working on translated mixed layer kinetics model to feed into the feature scale model for SiO 2 etch in Cl 2 plasma, Cu ionized physical vapor deposition, and HfO 2 /Al 2 O 3 etch in Cl 2 and BCl 3 plasmas IMPACT 24 11/02/2009

Surface Kinetics Si etching in Cl chemistry Hybrid Model Species flux ratio (Reactor Scale) The Problem Validation Si etching in Cl chemistry & SEM Cl Cl 1 r1s1rcl xsi xcl 2 ( g) Cl( s) r2 s2r Cl ( g ) Cl( s) Si Cl SiCl 3 ( ) 2 r xcl s ( s) 2( g) Si Cl Si r4 YSixSi ( s) ( g ) Cl r5 YCl xcl ( s) ( g ) SiO 2 etching in F chemistry Feature profile simulator n n SiO 2 etching in F chemistry & AFM AFM: RMS roughness of SiO 2 etching with Ion Direction F F 1 2 xsi r1s1rf xsi xf 2 2xSi xo ( g) F( s) xo r2s2rf xo xf 2 xsi xo ( g) F( s) 2 xsi r4 1xF 2 xsi xo ( s ) ( s) 2( g) Si F SiF xo r5 2xF 2 xsi xo ( s ) ( s) ( g) O F OF Si O F Si r6 YSixSi ( s) ( g ) O r7 YOxO ( s) ( g ) F r8 YFxF ( s) ( g ) Morphology Composition Yin, Y. et al. JVST A 26(1), 2008 Guo, W. et al. J. Phys. D. 42, 2009 Surface kinetics can become very complex and difficult to model Translated mixed layer (TML) kinetics lumps similar reactions together IMPACT 25 11/02/2009

Start with basic material system and chemistry. Adsorption Flux M Cl O M = Si, Hf, etc. Movement Flux Translated Mixed Layer Kinetics Test model accuracy against experimental data Removal Flux Method Of Approach Expand model complexity, fitting data to indirectly obtain kinetic parameters Test parameter accuracy by comparing to surface composition data, etc. r A1 scl _ on_ Hf RCl r A2 scl RCl Cl( g) Cl( s) Hf _ for _ Cl Cl ( g) Cl( s) O Hf Hf Cl ( s) ( s) ( g ) Cl HfCl ( s) 3 ( s) 3( g) Cl OCl HfCl ( s) 4 ( s) 4( g) r M dx Hf = 3r E2 4r E2 r E1 r A1 r A2 dt Construct model based on literature and experimental observations. Extract parameters that cannot be experimentally measured. Verify accuracy through surface composition comparisons. IMPACT 26 11/02/2009 r r r ( J x E1 OCl OCl 3 E2 HfCl3 ( JHf Cl ) 4 E3 HfCl4 ( JHf Cl ) = r A1 r A2 2r E1 4r E2 5r E3 dt dx O dt dx Cl = r E2 r E3 r M = r E1 r M )

SiO 2 in C x F y Plasma SEM Top View Oxide etch in CF 4 -CHF 3 plasma P AFM Profile Evolution R ARC SiO 2 Si P AR SiO 2 Si R C P ARC SiO 2 Si R O Si F C Roughness propagation Initial Surface Nucleation Advancement CF x ion CF x ion Smooth SiO 2 Goldfarb et al. JVST B 22(2) 2002 θ = 0 Ion direction Roughness evolution: C 4 F 8 /Ar plasma etch of oxide, 360 ev θ = 80 Ion direction time evolution C 4 F 8 /Ar plasma etch of SiO 2, 360 ev θ = 50 θ = 80 θ Ion direction time evolution Ion direction Sigmund theory applied to capture angle energy deposition MC feature model capable of modeling roughness and its evolution IMPACT 27 11/02/2009 θ time evolution

Cl Cl 2 HfO 2 in Cl 2 /BCl 3 Plasma Ionic species generated and etch rates for HfO 2 in Cl 2 /BCl 3 plasmas at 500W, 3 mt (top) and 300W, 5 mt (bottom). 10 500 BCl 2 Cl 3 Cl ClO HfCl 2 HfCl 3 HfCl 4 Ion % Cl 2 BCl 2 10 100 BCl 2 Cl Cl 2 B BCl 3 (BOCl) 3 HfCl 3 Ion % HfCl 4 HfBOCl 4 Cl Cl 2 0 50 100 150 200 250 300 350 400 m/z 0 20 40 60 80 100 BCl 3 % 0 20 40 60 80 100 % BCl 3 Plasma was characterized using Langmuir Probe and OES. QMS was used to characterize major etch products and establish the effect of the plasma composition on etching rate. IMPACT 28 11/02/2009

HfO 2 Based Dielectrics in Cl 2 /BCl 3 Plasma TML Model Construction 160 Comparison with phenomenological model fitting 160 Al 2 O 3 Al 2 O 3 B Cl O Hf Etch Rate (Å/min) 120 80 40 0 Hf 0.16 Al 0.19 O 0.65 HfO 2 E tr E th 0 2 4 6 8 10 12 14 0 2 4 6 8 10 12 14 E ion Etch Rate (Å/min) 120 80 40 0 Hf 0.16 Al 0.19 O 0.65 HfO 2 E ion HfO2 ( s) 6Cl( g) HfCl4 ( g) 2ClO( g) HfO s) 2BCl ( g) HfCl ( g) 2BOCl( ) 2( 3 4 g 0 2 4 6 8 10 12 14 Phenomenological model (left column) and TML (right column) model fittings for Al 2 O 3, HfO 2 and Hf 0.16 Al 0.19 O 0.65 films Model can be adapted to fit more complex oxide films. Possible predictions of etching behavior for future materials can be made. IMPACT 29 11/02/2009 Dep. Rate (Å/min) Etch Rate (Å/min) Al 2 O 3 Hf 0.16 Al 0.19 O 0.65 HfO 2 E ion The more complex chemistry of BCl 3 and the simultaneous etching/deposition regimes must also be modeled using the TML approach.

Cu Ionized Physical Vapor Deposition Novellus HCM PVD Critical feature changes with process parameters Bottom Coverage vs. Ar Pressure BC/OH vs. Ar Pressure Bottom Coverage (nm) 50 sccm 10 sccm 2 sccm BC/OH 50 sccm 10 sccm 2 sccm Field Thickness (A) Field Thickness (A) Remaining CD vs. Ar Pressure Trench CD loss normalized to CD vs Wafer Bias Singer, Peter. Semiconductor International 2002 SEM images generated purposely to help exaggerate effects for modeling purposes Top View Side View Remaining CD (nm) 50 sccm 10 sccm 2 sccm Field Thickness (A) CD loss (%) BKM 2 BKM 4.1 10 sccm BKM 4.1 Wafer Bias (V) RF power & bias increase CD loss (%) Trench CD loss normalized to Field Thickness vs. Wafer Bias BKM 2 BKM 4.1 10 sccm BKM 4.1 Wafer Bias (V) BC/OH BC/OH vs. Wafer Bias BKM 2 BKM 4.1 10 sccm BKM 4.1 Wafer Bias (V) Overhang from neutral depositing fluxes and/or resputtered products Bottom trench fill from increased directional fluxes (e.g. ions) Faceting caused by physical sputtering IMPACT 30 11/02/2009

Sigmund theory surface contour of deposited energy ion A B θ thermal accommodation 1.2 1.0 0.8 0.6 0.4 0.2 MD simulations on Cu(111) Ar only 50 ev 150 ev 250 ev 0.0 0 10 20 30 40 50 60 70 80 90 impact angle (degrees) Cu IPVD Physics thermal accomodation 1.2 1.0 0.8 0.6 0.4 0.2 Kress, J. D. et al. JVST A 17(5), 1999 Cu only 25 ev 50 ev 100 ev 0.0 0 10 20 30 40 50 60 70 80 90 impact angle (degrees) sputter yield 1.0 0.8 0.6 0.4 0.2 0.0 Cu relationship between sputter yield and sticking probability 35 ev 50 ev 75 ev 25 ev 100 ev -0.2 0 10 20 30 40 50 60 70 80 90 impact angle (degrees) sticking probability 1.2 1.0 0.8 0.6 0.4 0.2 0.0 10 ev 15 ev 25 ev 35 ev 50 ev 75 ev 100 ev -0.2 0 10 20 30 40 50 60 70 80 90 impact angle (degrees) 0.0 0 20 40 60 80 Incident angle (degrees) Current model captures most physics properly Ion sticking thermal accommodation consistent with Sigmund theory Cu sticking probability scales about inversely with sputter yield P. Sigmund. J. Materi. Sci. 8, 1545 (1973) IMPACT 31 11/02/2009 MD Cu sticking probability 1.2 1.0 0.8 0.6 0.4 0.2 Coronell et al. APL 73(26), 1998 100 ev 75 ev 50 ev 35 ev 25 ev 15 ev 10 ev

Cu IPVD Scattering and Kinetics number of reflections 50 40 30 20 10 10 ev 50 ev 100 ev number of reflections 50 40 30 20 10 MD simulations: Ar scattering 10 ev 50 ev 100 ev number of reflections 70 60 50 40 30 20 10 10 ev 50 ev 100 ev Liu, X.-Y. et al. Thin Film Solids 422, 2002 0 off normal 20 off normal 45 off normal 80 off normal number of reflections 250 200 150 100 50 10 ev 50 ev 100 ev 0 0 10 20 30 40 50 reflection angle (degrees) 0 0 10 20 30 40 50 reflection angle (degrees) 0 0 10 20 30 40 50 60 reflection angle (degrees) 0 0 20 40 60 80 reflection angle (degrees) Copper Sputter Yield MD: resputtered Cu deposition distribution 0 off normal 45 off normal E i = 175 ev i = 0 o -80-60 -40-20 0 20 40 60 80 Plane angle p [ o ] Cu sputter Yield E i = 175 ev i = 45 o -80-60 -40-20 0 20 40 60 80 Plane angle p [ o ] Vyvoda et al. IEEE Transactions on Plasma Science 27(5), 1999 Preliminary TML kinetics Lagried (Expt) Weijsenfeld (Expt) Bohdansky (Expt) Cheng (BC Sim) Gades (MD Sim) Kress (MD Sim) TML Fit 0 50 100 150 200 250 300 E ion Specular scattering for ions and isotropic redepositing Cu invalid TML kinetics model consists of Ar / Cu with Cu/Cu deposition underway Etch Yield IMPACT 32 11/02/2009

Modeling Cu IPVD Experimental profiles faceting from Sputtering (e.g. kinetics) low energy deposition (e.g. Sigmund theory) neutral deposition or resputtering (e.g. scattering) Deposition on sidewalls Isotropic deposition s = 0.95 70% Cu 27% Cu, 3% Ar No deposition on sidewalls Pure Cu 50% Cu, 50% Cu directional deposition (e.g. ion from reactor scale model) Isotropic deposition, s = 0.2, 0.8 Angular dep., σ = 0.05, s = 1.0 Low bottom coverage (e.g. high ion sticking) Specular scattering not valid, more detailed kinetics needed IMPACT 33 11/02/2009

Future Goals Extract TML kinetics from MD and beam experiments Implement scattering physics determined by MD experiments Test validity of TML kinetics in feature profile simulator by comparing predicted profiles with experimental SEM for various chemistries Special Acknowledgements Ron Kinder at Novellus Victor Moroz at Synopsys Herbert Sawin at MIT Funded by AMD, Applied Materials, ASML, Canon, Ebara, Hitachi, IBM, Intel, KLA-Tencor, Magma, Marvell, Mentor Graphics, Novellus, Panoramic, SanDisk, Spansion, Synopsys, Tokyo Electron Limited, and Xilinx, with donations from Photronics, Toppan and matching support by the U.C. Discovery Program. IMPACT 34 11/02/2009