Pre and post-silicon techniques to deal with large-scale process variations

Similar documents
Design for Variability and Signoff Tips

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

SINCE the early 1990s, static-timing analysis (STA) has

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Statistical Performance Modeling and Optimization

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

Timing Analysis in Presence of Supply Voltage and Temperature Variations

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Statistical Gate Delay Model for Multiple Input Switching. Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University

Statistical Performance Analysis and Optimization of Digital Circuits

ESE535: Electronic Design Automation. Delay PDFs? (2a) Today. Central Problem. Oxide Thickness. Line Edge Roughness

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation *

Dealing with timing issues for sub-100nm designs - from modeling to mass production

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Fast Buffer Insertion Considering Process Variation

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Post-Silicon Timing Characterization by Compressed Sensing

A Random Walk from Async to Sync. Paul Cunningham & Steev Wilcox

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage

Sample Test Paper - I

Capturing Post-Silicon Variations using a Representative Critical Path

Lecture 9: Sequential Logic Circuits. Reading: CH 7

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Computer-Aided Design for Manufacturability

(a) (b) Fig. 1. Transition propagation in (a) GBA mode and (b) PBA mode.

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

Lecture 15: Scaling & Economics

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Analysis Techniques for Logic and Memory Circuits

STATIC TIMING ANALYSIS

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

c. VH: Heating voltage between the collector and emitter.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

ECE321 Electronics I

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

High-Performance SRAM Design

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Designing Sequential Logic Circuits

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

EE371 - Advanced VLSI Circuit Design

Digital Integrated Circuits A Design Perspective

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Shift Register Counters

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

EE5311- Digital IC Design

VLSI Design I. Defect Mechanisms and Fault Models

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization

ECE260B CSE241A Winter Statistical Timing Analysis and SPICE Simulation

Lecture 16: Circuit Pitfalls

Lecture 1: Circuits & Layout

A Geometric Programming-based Worst-Case Gate Sizing Method Incorporating Spatial Correlation

The Linear-Feedback Shift Register

Dept. Information Systems Engineering, Osaka Univ., Japan

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

Lecture 21: Packaging, Power, & Clock

Analog and Telecommunication Electronics

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

EE241 - Spring 2003 Advanced Digital Integrated Circuits

NTE74HC173 Integrated Circuit TTL High Speed CMOS, 4 Bit D Type Flip Flop with 3 State Outputs

Lecture 5: DC & Transient Response

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

74HC74. Dual D Flip Flop with Set and Reset. High Performance Silicon Gate CMOS

nmos IC Design Report Module: EEE 112

Multimedia Networking ECE 599

Efficient electron transport on helium with silicon integrated circuits

74HC86. Quad 2 Input Exclusive OR Gate. High Performance Silicon Gate CMOS

Thermal Resistance Measurement

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

388 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011

From Blind Certainty to Informed Uncertainty

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla

Logic Synthesis and Verification

TCAD Modeling of Stress Impact on Performance and Reliability

Advanced Testing. EE5375 ADD II Prof. MacDonald

Lecture 4: DC & Transient Response

Reduction of Logic Equations using Karnaugh Maps

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

EE5780 Advanced VLSI CAD

Transcription:

Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University

Outline Introduction to Variability Pre-silicon Techniques Basics of traditional static timing OCV AOCV/LOCV SSTA POCV/SOCV Post-silicon Techniques Compressed Sensing Compressed Silicon Sensing (CSS) Virtual Probe Our Proposed Framework Application of CSS 2

Timing Uncertainty Add Timing Margins For Delay Uncertainty Process Variation Voltage Variation Temperature Variation Aging Effects Associated Costs Area, Power, Design Efforts/Time Actual Circuit Delay Timing Margin CLOCK Process Temperature Voltage Aging 3

Classification of variability 4

Sources of variation FEOL (Front end of line) variation BEOL (Back end of line) variation Random Dopant Fluctuation 5 Lithography-induced variation /Proximity effects Erosion and dishing in CMP process

CD (Lgate) Variation Critical dimension (a.k.a, Lgate, Leff, ) The effective channel length of transistors Affects delay and leakage substantially Varies across-wafer and within-chip systemically A reduction of 1nm of the standard deviation of CD $7.5/chip for a high end product a) wafer-to-wafer b) Across-wafer c) Die-to-die d) Across-die e) Pattern dependent f) Local random noise http://www.eecs.berkeley.edu/~bora/conferences/2009/spie09-qian.pdf 6

CD (Lgate) Variation Across-wafer CD variation Post Exposure Bake (PEB) is the greatest variation culprit In areas where the bake plate is relatively cool, CD is larger than average http://bcam.berkeley.edu/archive/theses/friedberg_phd.pdf 7

CD (Lgate) Variation Within-chip CD variation Lens aberration induces spatially correlated variation Different layout leads to different spatial patterns due to optical proximity effect http://www.bioee.ee.columbia.edu/courses/upload/bibliography/orshansky2004.pdf 8

Voltage, temperature, weather, 9 [IBM]

Spatial Correlation 10

Traditional Static Timing Setup check 11

Traditional Static Timing Hold check 12

Traditional Static Timing Use worst/best corners for setup/hold checks p2 Launch Path D L (Launch clock path + data path) Setup Check p1 D L < D + T c clock Process Space D Q D Q CLOCK Capture Path D C 13

Traditional Static Timing GBA (Graph-Based Analysis) takes linear time in circuit size PBA (Path-Based Analysis) takes exponential time in circuit size Launch Path D L Setup Check D L < D + T c clock D Q D Q 1 1 CLOCK 1 1 Capture Path D C 14

Traditional Static Timing GBA finds an upper bound of the worst path delay in linear time through the graph GBA is pessimistic than PBA a D Q b c The upper bound of the worst path delay 15

On-Chip Variation (OCV) Launch Path D L Setup Check D L < D + T c clock D Q D Q [0.95,1.05] [0.95,1.05] CLOCK [0.95,1.05] [0.95,1.05] Capture Path D C 16

On-Chip Variation (OCV) Common Path Reconvergence Pessimism Removal (CRPR) (a.k.a, CPPR) Launch Path D L Setup Check D L < D + T c clock D Q D Q CLOCK [0.95,1.05] [0.95,1.05] [0.95,1.05] Capture Path D C 17

Statistical Cancellation (OCV) 18

Statistical Cancellation 19

Advanced OCV (AOCV) (aka LOCV) Stage-based AOCV [Synopsys Whitepaper] 20

Advanced OCV (AOCV) (aka LOCV) [Synopsys Whitepaper] 21

Advanced OCV (AOCV) (aka LOCV) Cells gets different derate depending on the logic depth and the cell type (Design-specific OCV, CLK DA) it also depends on the loads and slews Launch Path D L Setup Check D L < D + T c clock D Q D Q CLOCK [0.95,1.05] [0.95,1.05] 5% [0.95,1.05] [0.97,1.03] 3% Capture Path D C 22

RSS credit in setup/hold check D L Setup Check < D + T c clock Method 1 (OCV) RSS credit Method 1 (OCV) Method 1 (OCV) If perfectly correlated, variation will be canceled 23

Advanced OCV (AOCV) (aka LOCV) Distance-based AOCV [Synopsys Whitepaper] D L < D + T c clock 24

Advanced OCV (AOCV) (aka LOCV) 0.05 [0.95,1.05] 5% 3% = 0.02 + 0.03 25

Advanced OCV (AOCV) (aka LOCV) Derating Table for each cell type [Synopsys Whitepaper] 26

Advanced OCV (AOCV) (aka LOCV) AOCV requires a lot of library characterization efforts Derating values for each cell type, each depth, each location, each slew, each load Worst-case derating is selected across each load and each slew A source of pessimism AOCV tables doesn t have much information Can be predicted by the simple analytic model Path credit is mapped into the credit of segment delays Paths do not consist of a single type of gates Not graph-based analysis (GBA) friendly 27

Statistical Static Timing Analysis (SSTA) 28

Statistical Static Timing Analysis (SSTA) Canonical Form 29 All timing quantities computed and propagated in a parameterized form ATs, RATs, slacks, slews, delays, etc Need to characterize sensitivities for each cell type, each delay, each slew

Statistical Static Timing Analysis (SSTA) Statistical Timing: Where s the tofu? ICCAD 2009, IBM 30

Statistical Static Timing Analysis (SSTA) Use 7 variables in the Canonical Form 31

Statistical Static Timing Analysis (SSTA) SSTA benefits Chip-to-chip variation No corners Safe RSS credit Within-chip variation RSS credit down a path RSS credit in setup/hold check 32

Statistical Static Timing Analysis (SSTA) Statistical Timing: Where s the tofu? ICCAD 2009, IBM 33

Statistical Static Timing Analysis (SSTA) Statistical Timing: Where s the tofu? ICCAD 2009, IBM 34

Statistical Static Timing Analysis (SSTA) Statistical Timing: Where s the tofu? ICCAD 2009, IBM 35

Statistical Static Timing Analysis (SSTA) Statistical Timing: Where s the tofu? ICCAD 2009, IBM 36

Statistical Static Timing Analysis (SSTA) Apples-to-apples comparison of statistical flow to: 2 corner foundry-like timing with derating n corner industry-standard flow Exhaustive corner timing - 380ps total - 200ps from RSS credit in chip-to-chip variation - 80ps from RSS credit in on-chip variation Statistical Timing: Where s the tofu? ICCAD 2009, IBM 37

Parametric OCV (POCV) (aka SOCV) Use SSTA for within-chip variation only Eliminate a lot of characterization burden from SSTA, giving up the benefits in chip-to-chip variation Use a few variables only in the canonical form Statistical OCV (SOCV) is a similar technique In theory, POCV/SOCV is clearly a better engineering than AOCV Better accuracy and less characterization effort A parametric approach for handling local variation effects in timing analysis, DAC 2009, Mutlu. A (Extreme DA) 38

Remaining Pessimism in SSTA/POCV Refactoring - CRPR for Combinational Networks Launch Path D = D + max( a+ ba, + c) + d+ e L = D + a+ max( bc, ) + d+ e D Q cd cd b d e a D Using Distributivity Of + over max Setup Check D L < D + T Q c clock c CLOCK Capture Path D C 39 [Chung and Abraham, ICCAD 2009] (Best Paper Award Nomination) [Chung and Abraham, TCAD 2012]

Compressed Sensing JPEG Encoder IP Acquisition (Sampling) RGB2YCbCr Color Converter 8X8 Block 2D Discrete Cosine Transform Quantization Huffman Encoding Sparse f f

Compressed Sensing Tremendous impact on signal processing, machine learning, statistics,.. The original groundbreaking paper [Donoho 2004] has been cited 8769 times (200+ papers in the last 3 years.) Linear measurements Decoding or Recovery or Non-uniform sampling Classical answer: Underdetermined cannot solve We have k equations and 2m unknowns, If k>2m, we may have a unique solution New answer: Information on 2m unknowns are encoded into k measurements, and we can recover it perfectly and efficiently (In practice, around 4m are needed)

Compressed Sensing Images and sounds have continuation Samples adjacent in time or space are highly correlated (high energy at low frequencies) Conventional measurements are not efficient After acquisition at t0 t0 Lower entropy, less information CS recovers/predicts unobserved quantities from a few observations

Compressed Silicon Sensing In IC manufacturing, measurements are expensive IC cost = die cost + test cost + package cost Could be applicable to pre-silicon as well (where some simulations are expensive or interpolation is used) Wafer Automatic Test Equipment (ATE)

Virtual Probe Framework for wafer characterization Many wafer test results are spatially correlated across wafer Spatially correlated data (282 measurements) Random 50 measurements Predicted from 50 samples 1.8% Error

Our CSS Framework Test-items are also correlated strongly VP recover results of each test-item independently Our approach does it simultaneously Synthetic wafer Normalized Flushed delay Normalized Log(IDDQ) 50 samples/item VP s Prediction (12% Error) Our Prediction (0.4% Error)

Our CSS Framework Can decompose it into correlated variation and random variation Synthetic wafer 50 samples/item

Applications of CSS

Conclusions Robustness is the key to success in nanometer technologies Margins are the easiest way to obtain robustness Margins eat up competitiveness Needs sophisticated engineering for margining (OCV, AOCV, POCV, ) Post-silicon engineering (silicon debug, characterization, etc) is very important under large-scale process variations Compressed Silicon Sensing CS is a revolutionary theory Let s take advantage of it at IC design and manufacturing! 48

Q/A Thank you! 49