CSE493/593. Designing for Low Power

Similar documents
EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

VLSI Design I; A. Milenkovic 1

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany

Power Dissipation. Where Does Power Go in CMOS?

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Lecture 8-1. Low Power Design

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

MODULE III PHYSICAL DESIGN ISSUES

EE241 - Spring 2001 Advanced Digital Integrated Circuits

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Where Does Power Go in CMOS?

Dynamic operation 20

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

CMOS Inverter (static view)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro

Miscellaneous Lecture topics. Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.]

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

THE INVERTER. Inverter

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

Lecture 2: CMOS technology. Energy-aware computing

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

Integrated Circuits & Systems

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Lecture 4: CMOS review & Dynamic Logic

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

The CMOS Inverter: A First Glance

COMP 103. Lecture 16. Dynamic Logic

B.Supmonchai July 5th, q Quantification of Design Metrics of an inverter. q Optimization of an inverter design. B.Supmonchai Why CMOS Inverter?

EE141Microelettronica. CMOS Logic

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

MOS Transistor Theory

Digital Integrated Circuits A Design Perspective

MOS Transistor I-V Characteristics and Parasitics

9/18/2008 GMU, ECE 680 Physical VLSI Design

University of Toronto. Final Exam

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

Chapter 5. The Inverter. V1. April 10, 03 V1.1 April 25, 03 V2.1 Nov Inverter

ECE 546 Lecture 10 MOS Transistors

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

EECS 141: FALL 05 MIDTERM 1

ECE321 Electronics I

Properties of CMOS Gates Snapshot

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

Integrated Circuits & Systems

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

ENEE 359a Digital VLSI Design

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Digital Microelectronic Circuits ( ) The CMOS Inverter. Lecture 4: Presented by: Adam Teman

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Digital Integrated Circuits

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

MOSFET: Introduction

The Physical Structure (NMOS)

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

EE5311- Digital IC Design

MOS Transistor Theory

ENEE 359a Digital VLSI Design

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Low Power CMOS Dr. Lynn Fuller Webpage:

DC and Transient Responses (i.e. delay) (some comments on power too!)

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

EECS 141 F01 Lecture 17

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

Digital Integrated Circuits A Design Perspective

MOSFET and CMOS Gate. Copy Right by Wentai Liu

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEE 421 VLSI Circuits

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering

CMOS Technology for Computer Architects

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Lecture 7 Circuit Delay, Area and Power

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

EEC 216 Lecture #2: Metrics and Logic Level Power Estimation. Rajeevan Amirtharajah University of California, Davis

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 434 Lecture 33. Logic Design

Digital Integrated Circuits A Design Perspective

Topic 4. The CMOS Inverter

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

ECE 497 JS Lecture - 12 Device Technologies

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

High-to-Low Propagation Delay t PHL

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE

Transcription:

CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1

Why Power Matters Packaging costs Power supply rail design Chip and system cooling costs Noise immunity and system reliability Battery life (in portable systems) Environmental concerns Office equipment accounted for 5% of total US commercial energy usage in 1993 Energy Star compliant systems.2

Why worry about power? -- Power Dissipation Lead microprocessors power continues to increase 100 Power (Watts) 10 1 8085 8080 8008 4004 8086 286 386 486 P6 Pentium 0.1 1971 1974 1978 1985 1992 2000 Year Power delivery and dissipation will be prohibitive Source: Borkar, De Intel.3

Why worry about power? -- Chip Power Density 10000 Sun s Surface Power Density (W/cm2) 1000 100 10 1 4004 8008 8080 8086 Nuclear Reactor Hot Plate 8085 286 386 486 Rocket Nozzle P6 Pentium chips might become hot 1970 1980 1990 2000 2010 Year Source: Borkar, De Intel.4

Chip Power Density Distribution Power Map On-Die Temperature 250 200 150 100 50 0 Heat Flux (W/cm2) 110 100 90 80 70 60 50 40 Temperature (C) Power density is not uniformly distributed across the chip Silicon is not a good heat conductor Max junction temperature is determined by hot-spots Impact on packaging, w.r.t. cooling.5

Why worry about power? -- Battery Size/Weight 50 Rechargable Lithium Battery (40+ lbs) Nominal Capacity (W-hr/lb) 40 30 20 10 Ni-Metal Hydride Nickel-Cadmium 0 65 70 75 80 85 90 95 Year Expected battery lifetime increase over the next 5 years: 30 to 40% From Rabaey, 1995.6

Why worry about power? -- Standby Power Drain leakage will increase as V T decreases to maintain noise margins and meet frequency demands, leading to excessive battery draining standby power consumption. 50% Year 2002 2005 2008 2011 2014 Power supply V dd (V) 1.5 1.2 0.9 0.7 0.6 Threshold V T (V) 0.4 0.4 0.35 0.3 0.25 8KW and phones leaky! 40% 1.7KW Standby Power 30% 20% 10% 12W 88W 400W 0%.7 2000 2002 2004 2006 2008 Source: Borkar, De Intel

Power and Energy Figures of Merit Power consumption in Watts determines battery life in hours Peak power determines power ground wiring designs sets packaging limits impacts signal noise margin and reliability analysis Energy efficiency in Joules rate at which power is consumed over time Energy = power * delay Joules = Watts * seconds lower energy number means less power to perform a computation at the same frequency.8

Power versus Energy Watts Power is height of curve Lower power design could simply be slower Approach 1 Approach 2 Watts time Energy is area under curve Two approaches require the same energy Approach 1 Approach 2 time.9

.10 PDP and EDP Power-delay product (PDP) = P av * t p = (C L V DD2 )/2 PDP is the average energy consumed per switching event (Watts * sec = Joule) lower power design could simply be a slower design Energy-delay product (EDP) = PDP * t p = P av * t p 2 EDP is the average energy consumed multiplied by the computation time required takes into account that one can trade increased delay for lower energy/operation (e.g., via supply voltage scaling that increases delay, but decreases energy consumption) allows one to understand tradeoffs better Energy-Delay (normalized) 15 10 5 0 0.5 1 1.5 2 2.5 Vdd (V) energy-delay energy delay

Understanding Tradeoffs Which design is the best (fastest, coolest, both)? b Lower EDP c a d 1/Delay.12 better

CMOS Energy & Power Equations E = C L V DD 2 P 0 1 I leakage + t sc V DD I peak P 0 1 + V DD f 0 1 = P 0 1 * f clock P = C L V DD2 f 0 1 + t sc V DD I peak f 0 1 + Dynamic power Short-circuit power V DD I leakage Leakage power.13

Dynamic Power Consumption Vdd Vin Vout C L Energy/transition = C L * V DD 2 * P 0 1 f 0 1 P dyn = Energy/transition * f = C L * V DD 2 * P 0 1 * f P dyn = C EFF * V DD2 * f where C EFF = P 0 1 C L Not a function of transistor sizes! Data dependent - a function of switching activity!.14

Lowering Dynamic Power Capacitance: Function of fan-out, wire length, transistor sizes Supply Voltage: Has been dropping with successive generations P dyn = C L V DD2 P 0 1 f Activity factor: How often, on average, do wires switch? Clock frequency: Increasing.16

Short Circuit Power Consumption Vin I sc Vout C L Finite slope of the input signal causes a direct current path between V DD and GND for a short period of time during switching when both the NMOS and PMOS transistors are conducting..17

Short Circuit Currents Determinates E sc = t sc V DD I peak P 0 1 P sc = t sc V DD I peak f 0 1 Duration and slope of the input signal, t sc I peak determined by the saturation current of the P and N transistors which depend on their sizes, process technology, temperature, etc. strong function of the ratio between input and output slopes - a function of C L.18

Impact of C L on P sc I sc 0 I sc I max Vin Vout Vin Vout C L C L Large capacitive load Small capacitive load Output fall time significantly larger than input rise time..19 Output fall time substantially smaller than the input rise time.

I peak as a Function of C L x 10-4 2.5 2 C L = 20 ff When load capacitance is small, I peak is large. 1.5 1 0.5 0-0.5 0 2 4 6 x 10-10 500 psec input slope time (sec) C L = 100 ff C L = 500 ff Short circuit dissipation is minimized by matching the rise/fall times of the input and output signals - slope engineering..20

P sc as a Function of Rise/Fall Times 8 7 6 5 4 V DD = 3.3 V When load capacitance is small (t sin /t sout > 2 for V DD > 2V) the power is dominated by P sc.21 3 2 1 0 V DD = 2.5 V 0 2 t sin /t sou 4 W/L p = 1.125 µm/0.25 µm W/L n = 0.375 µm/0.25 µm C L = 30 ff t V DD = 1.5V normalized wrt zero input rise-time dissipation If V DD < V Tn + V Tp then P sc is eliminated since both devices are never on at the same time.

Leakage (Static) Power Consumption V DD I leakage Vout Drain junction leakage Gate leakage Sub-threshold current Sub-threshold current is the dominant factor. All increase exponentially with temperature!.22

Leakage as a Function of V T Continued scaling of supply voltage and the subsequent scaling of threshold voltage will make subthreshold conduction a dominate component of power dissipation. 10-2 ID (A) 10-7 VT=0.4V VT=0.1V An 90mV/decade V T roll-off - so each 255mV increase in V T gives 3 orders of magnitude reduction in leakage (but adversely affects performance) 10-12 0 0.2 0.4 0.6 0.8 1 VGS (V).23

TSMC Processes Leakage and V T CL018 G CL018 LP CL018 ULP CL018 HS CL015 HS CL013 HS V dd 1.8 V 1.8 V 1.8 V 2 V 1.5 V 1.2 V T ox (effective) 42 Å 42 Å 42 Å 42 Å 29 Å 24 Å L gate 0.16 µm 0.16 µm 0.18 µm 0.13 µm 0.11 µm 0.08 µm I DSat (n/p) (µa/µm) 600/260 500/180 320/130 780/360 860/370 920/400 I off (leakage) (ρa/µm) 20 1.60 0.15 300 1,800 13,000 V Tn 0.42 V 0.63 V 0.73 V 0.40 V 0.29 V 0.25 V FET Perf. (GHz) 30 22 14 43 52 80 From MPR, 2000.24

Exponential Increase in Leakage Currents 10000 I leakage (na/µm) 1000 100 10 0.25 0.18 0.13 0.1 1 30 40 50 60 70 80 90 100 110 Temp(C) From De,1999.25

Review: Energy & Power Equations E = C L V DD 2 P 0 1 I leakage + t sc V DD I peak P 0 1 + V DD f 0 1 = P 0 1 * f clock P = C L V DD2 f 0 1 + t sc V DD I peak f 0 1 + Dynamic power (~90% today and decreasing relatively) Short-circuit power (~8% today and decreasing absolutely) V DD I leakage Leakage power (~2% today and increasing).26

Power and Energy Design Space Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Logic Design DFS, DVS Active Reduced V dd Sizing Multi-V dd Clock Gating Leakage + Multi-V T Multi-V dd Sleep Transistors (Dynamic Freq, Voltage Scaling) + Variable V T Variable V T.27

Dynamic Power as a Function of Device Size Device sizing affects dynamic energy consumption gain is largest for networks with large overall effective fan-outs (F = C L /C g,1 ) The optimal gate sizing factor (f) for dynamic energy is smaller than the one for performance, especially for large F s e.g., for F=20, f opt (energy) = 3.53 while f opt (performance) = 4.47 If energy is a concern avoid oversizing beyond the 0 optimal 1 2 3 4 5 6 7 normalized energy 1.5 1 0.5 F=1 F=2 f F=5 F=10 F=20.28 From Nikolic, UCB

Dynamic Power Consumption is Data Dependent Switching activity, P 0 1, has two components A static component function of the logic topology A dynamic component function of the timing behavior (glitching) 2-input NOR Gate A B Out 0 0 1 0 1 0 1 0 0 1 1 0 Static transition probability P 0 1 = P out=0 x P out=1 = P 0 x (1-P 0 ) With input signal probabilities P A=1 = 1/2 P B=1 = 1/2 NOR static transition probability = 3/4 x 1/4 = 3/16.29

NOR Gate Transition Probabilities Switching activity is a strong function of the input signal statistics P A and P B are the probabilities that inputs A and B are one A B A B C L 0 P A 1 0 1 P B P 0 1 = P 0 x P 1 = (1-(1-P A )(1-P B )) (1-P A )(1-P B ).30

Transition Probabilities for Some Basic Gates P 0 1 = P out=0 x P out=1 NOR (1 - (1 - P A )(1 - P B )) x (1 - P A )(1 - P B ) OR (1 - P A )(1 - P B ) x (1 - (1 - P A )(1 - P B )) NAND P A P B x (1 - P A P B ) AND (1 - P A P B ) x P A P B XOR (1 - (P A + P B - 2P A P B )) x (P A + P B - 2P A P B ) 0.5 0.5 A B X Z For X: P 0 1 = P 0 x P 1 = (1-P A ) P A = 0.5 x 0.5 = 0.25.32 For Z: P 0 1 = P 0 x P 1 = (1-P X P B ) P X P B = (1 (0.25 x 0.5)) x (0.25 x 0.5) =

Inter-signal Correlations Determining switching activity is complicated by the fact that signals exhibit correlation in space and time reconvergent fan-out 0.5 0.5 A B (1-0.5)(1-0.5)x(1-(1-0.5)(1-0.5)) = 3/16 X Z Reconvergent (1-3/16 x 0.5) x (3/16 x 0.5) = 0.085 P(Z=1) = P(B=1) & P(A=1 B=1) Have to use conditional probabilities.34

Logic Restructuring Logic restructuring: changing the topology of a logic network to reduce transitions AND: P 0 1 = P 0 x P 1 = (1 - P A P B ) x P A P B 0.5 0.5 (1-0.25)*0.25 = 3/16 A A W 7/64 0.5B B X 15/256 0.5 0.5 C C 0.5 D F D 0.5 0.5 3/16 Y Z 3/16 15/256 F Chain implementation has a lower overall switching activity than the tree implementation for random inputs Ignores glitching effects.35

Input Ordering 0.5 A B 0.2 (1-0.5x0.2)x(0.5x0.2)=0.09 X C F 0.1 0.2 B C 0.1 (1-0.2x0.1)x(0.2x0.1)=0.0196 X A F 0.5 Beneficial to postpone the introduction of signals with a high transition rate (signals with signal probability close to 0.5).37

Glitching in Static CMOS Networks Gates have a nonzero propagation delay resulting in spurious transitions or glitches (dynamic hazards) glitch: node exhibits multiple transitions in a single cycle before settling to the correct logic value A B C X Z ABC 101 000 X Z.39 Unit Delay

Glitching in an RCA Cin S15 3 S14 S2 S1 S0 S Output Voltage (V) 2 1 Cin S1 S3 S2 S4 S5 S10 S15 0 S0 0 2 4 6 8 10 12.40 Time (ps)

Balanced Delay Paths to Reduce Glitching Glitching is due to a mismatch in the path lengths in the logic network; if all input signals of a gate change simultaneously, no glitching occurs 0 0 0 F 1 1 F2 2 0 0 F 1 1 0 F 3 0 0 F 2 1 F 3 So equalize the lengths of timing paths through logic.41

Power and Energy Design Space Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Logic Design DFS, DVS Active Reduced V dd Sizing Multi-V dd Clock Gating Leakage + Multi-V T Multi-V dd Sleep Transistors (Dynamic Freq, Voltage Scaling) + Variable V T Variable V T.42

Dynamic Power as a Function of V DD.43 Decreasing the V DD decreases dynamic energy consumption (quadratically) But, increases gate delay (decreases performance) 5.5 5 4.5 4 3.5 3 2.5 2 1.5 1 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 V DD (V) Determine the critical path(s) at design time and use high V DD for the transistors on those paths for speed. Use a lower V DD on the other gates, especially those that drive large capacitances (as this yields the largest energy benefits).

Multiple V DD Considerations How many V DD? Two is becoming common Many chips already have two supplies (one for core and one for I/O) When combining multiple supplies, level converters are required whenever a module at the lower supply drives a gate at the higher supply (step-up) If a gate supplied with V DDL drives a gate at V DDH, the PMOS never turns off - The cross-coupled PMOS transistors do the level conversion - The NMOS transistor operate on a reduced supply Level converters are not needed for a step-down change in voltage Overhead of level converters can be mitigated by doing conversions at register boundaries and embedding the level conversion inside the flipflop (see Figure 11.47) V in V DDH VDDL V out.44

Dual-Supply Inside a Logic Block Minimum energy consumption is achieved if all logic paths are critical (have the same delay) Clustered voltage-scaling Each path starts with V DDH and switches to V DDL (gray logic gates) when delay slack is available Level conversion is done in the flipflops at the end of the paths.45

Power and Energy Design Space Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Logic Design DFS, DVS Active Reduced V dd Sizing Multi-V dd Clock Gating Leakage + Multi-V T Multi-V dd Sleep Transistors (Dynamic Freq, Voltage Scaling) + Variable V T Variable V T.46

Stack Effect Leakage is a function of the circuit topology and the value of the inputs V T = V T0 + γ( -2φ F + V SB - -2φ F ) where V T0 is the threshold voltage at V SB = 0; V SB is the sourcebulk (substrate) voltage; γ is the body-effect coefficient A B V X I SUB A A B V X B Out 0 0 V T ln(1+n) V GS =V BS = -V X 0 1 0 V GS =V BS =0 1 0 V DD -V T V GS =V BS =0 1 1 0 V SG =V SB =0 Leakage is least when A = B = 0 Leakage reduction due to stacked transistors is called the stack effect.47

Short Channel Factors and Stack Effect In short-channel devices, the subthreshold leakage current depends on V GS,V BS and V DS. The V T of a short-channel device decreases with increasing V DS due to DIBL (drain-induced barrier loading). Typical values for DIBL are 20 to 150mV change in V T per voltage change in V DS so the stack effect is even more significant for short-channel devices. V X reduces the drain-source voltage of the top nfet, increasing its V T and lowering its leakage For our 0.25 micron technology, V X settles to ~100mV in steady state so V BS = -100mV and V DS = V DD -100mV which is 20 times smaller than the leakage of a device with V BS = 0mV and V DS = V DD.48

.49 Leakage as a Function of Design Time V T Reducing the V T increases the subthreshold leakage current (exponentially) 90mV reduction in V T increases leakage by an order of magnitude ID (A) But, reducing V T decreases gate delay (increases performance) 0 0.2 0.4 0.6 0.8 1 VGS (V) VT=0.4V VT=0.1V Determine the critical path(s) at design time and use low V T devices on the transistors on those paths for speed. Use a high V T on the other logic for leakage control. A careful assignment of V T s can reduce the leakage by as much as 80%

Dual-Thresholds Inside a Logic Block Minimum energy consumption is achieved if all logic paths are critical (have the same delay) Use lower threshold on timing-critical paths Assignment can be done on a per gate or transistor basis; no clustering of the logic is needed No level converters are needed.50

Variable V T (ABB) at Run Time V T = V T0 + γ( -2φ F + V SB - -2φ F ) For an n-channel device, the substrate is normally tied to ground (V SB = 0) A negative bias on V SB causes V T to increase Adjusting the substrate bias at run time is called adaptive body-biasing (ABB) Requires a dual well fab process 0.9 0.85 0.8 0.75 0.7 0.65 0.6 0.55 0.5 0.45 0.4-2.5-2 -1.5-1 -0.5 0 V SB (V).51