ECE260B CSE241A Winter Interconnects. Website:

Similar documents
ECE260B CSE241A Winter Interconnects. Website:

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire EE141. Microelettronica

Lecture 9: Interconnect

Interconnects. Introduction

CMPEN 411 VLSI Digital Circuits Spring 2012

Interconnect s Role in Deep Submicron. Second class to first class

CMOS Transistors, Gates, and Wires

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 7 Circuit Delay, Area and Power

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ENEE 359a Digital VLSI Design

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Very Large Scale Integration (VLSI)

Integrated Circuits & Systems

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141

9/18/2008 GMU, ECE 680 Physical VLSI Design

The CMOS Inverter: A First Glance

THE INVERTER. Inverter

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Topics to be Covered. capacitance inductance transmission lines

Interconnect (2) Buffering Techniques. Logical Effort

Digital Integrated Circuits A Design Perspective

EE141-Spring 2007 Digital Integrated Circuits. Administrative Stuff. Last Lecture. Wires. Interconnect Impact on Chip. The Wire

COMP 103. Lecture 16. Dynamic Logic

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

Digital Integrated Circuits A Design Perspective

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits 2nd Inverter

The CMOS Inverter: A First Glance

Semiconductor Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

EE115C Digital Electronic Circuits Homework #5

MOSFET: Introduction

ECE 497 JS Lecture - 18 Impact of Scaling

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

GMU, ECE 680 Physical VLSI Design 1

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

The Physical Structure (NMOS)

Lecture 16: Circuit Pitfalls

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires

SEMICONDUCTOR MEMORIES

Digital Microelectronic Circuits ( )

Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

EECS 141 F01 Lecture 17

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Dynamic operation 20

CMOS Inverter (static view)

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

EE141Microelettronica. CMOS Logic

MOS Transistor Theory

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Semiconductor memories

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE

Lecture 15: Scaling & Economics

University of Toronto. Final Exam

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

Lecture 4: CMOS review & Dynamic Logic

Electrical Characterization of 3D Through-Silicon-Vias

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Issues on Timing and Clocking

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

SRAM System Design Guidelines

Magnetic core memory (1951) cm 2 ( bit)

MOSFET and CMOS Gate. Copy Right by Wentai Liu

Properties of CMOS Gates Snapshot

CMOS Comparators. Kyungpook National University. Integrated Systems Lab, Kyungpook National University. Comparators

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 16: Circuit Pitfalls

Lecture 12 CMOS Delay & Transient Response

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

MOS Transistor Theory

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Transcription:

ECE260B CSE241A Winter 2004 Interconnects Website: http://vlsicad.ucsd.edu/courses/ece260b-w04 ECE 260B CSE 241A Interconnects 1

Outline Interconnects Resistance Capacitance and Inductance Delay ECE 260B CSE 241A Interconnects 2

SEMATECH Prototype BEOL stack, 2000 Global (up to 5) Via Wire Passivation Dielectric Etch Stop Layer Dielectric Capping Layer Intermediate (up to 4) Copper Conductor with Barrier/Nucleation Layer Local (2) Pre Metal Dielectric Tungsten Contact Plug What are some implications of reverse-scaled global interconnects? ECE 260B CSE 241A Interconnects 3 Slide courtesy of Chris Case, BOC Edwards

Intel 130nm BEOL Stack Intel 6LM 130nm process with vias shown (connecting layers) Aspect ratio = thickness / minimum width ECE 260B CSE 241A Interconnects 4

Damascene and Dual-Damascene Process Damascene process named after the ancient Middle Eastern technique for inlaying metal in ceramic or wood for decoration Single Damascene Dual Damascene ILD Deposition Oxide Trench / Via Etch Oxide Trench Etch Metal Fill Metal Fill Metal CMP Metal CMP ECE 260B CSE 241A Interconnects 5

Cu Dual-Damascene Process Bulk copper removal Cu Damascene Process Barrier removal Polishing pad touches both up and down area after step height Different polish rates on different materials Dishing and erosion arise from different polish rates for copper and oxide Oxide erosion Copper dishing Oxide over-polish ECE 260B CSE 241A Interconnects 6

Area Fill & Metal Slot for Copper CMP Copper Oxide Area Fill Metal Slot Dishing can thin the wire or pad, causing higher-resistance wires or lower-reliability bond pads Erosion can also result in a sub-planar dip on the wafer surface, causing short-circuits between adjacent wires on next layer Oxide erosion and copper dishing can be controlled by area filling and metal slotting ECE 260B CSE 241A Interconnects 7

Evolution of Interconnect Modeling Needs Before 1990, wires were thick and wide while devices were big and slow Large wiring capacitances and device resistances Wiring resistance << device resistance Model wires as capacitances only In the 1990s, scaling (by scale factor S) led to smaller and faster devices and smaller, more resistive wires Reverse scaling of properties of wires RC models became necessary In the 2000s, frequencies are high enough that inductance has become a major component of total impedance ECE 260B CSE 241A Interconnects 8

Global Interconnect Delay ECE 260B CSE 241A Interconnects 9

Interconnect Statistics Local Interconnect S Local = S Technology S Global = S Die Global Interconnect What are some implications? ECE 260B CSE 241A Interconnects 10

Outline Interconnects Capacitance and Inductance Resistance Delay ECE 260B CSE 241A Interconnects 11

Capacitance: Parallel Plate Model ILD = interlevel dielectric L W T H ILD SiO 2 Substrate Bottom plate of cap can be another metal layer C int = e ox * (W*L / t ox ) ECE 260B CSE 241A Interconnects 12

Insulator Permittivities Huge effort to develop low-k dielectrics (e r < 4.0) for metal Reduces capacitance helps delay and power Materials have been identified, but process integration has been difficult at best ECE 260B CSE 241A Interconnects 13

Capacitance Values for Different Configurations Parallel-plate model substantially underestimates capacitance as line width drops below order of ILD height Why? ECE 260B CSE 241A Interconnects 14

Line Dimensions and Fringing Capacitance Lateral cap w S Line dimensions: W, S, T, H Sometimes H is called T in the literature, which can be confusing ECE 260B CSE 241A Interconnects 15

Interwire (Coupling) Capacitance Level2 Insulator Level1 SiO 2 Substrate Coupling effects among neighboring wires ECE 260B CSE 241A Interconnects 16

Interwire Capacitance Layer Poly M1 M2 M3 M4 M5 Capacitance (af/um) at minimum spacing 40 95 85 85 85 115 Example: Two M3 lines run parallel to each other for 1mm. The capacitance between them is 85aF/um * 1000um = 85000aF = 85fF Interwire capacitance today reaches ~80% of total wire capacitance Past M1 Sub Present / Future M1 Sub ECE 260B CSE 241A Interconnects 17

Capacitance Estimation Empirical capacitance models are easiest and fastest Handle limited configurations (e.g., range of T/H ratio) Some limiting assumptions (e.g., no neighboring wires) C wire Capacitance per unit length = ε ox W H ILD + 0.77 + 1.06 W H ILD 0.25 T + 1.06 H wire ILD 0.5 Rules of thumb: e.g., 0.2 ff/um for most wire widths < 2um Cf. MOSFET gate capacitance ~ 1 ff/um width Pattern-matching approaches applied to multilayer crosssections ECE 260B CSE 241A Interconnects 18

Capacitive Crosstalk Noise Two coupled lines W S C c C c T Cross-section view H C a C v C a Ground Plane Interwire capacitance allows neighboring wires to interact Charge injected across C c results in temporary (in static logic) glitch in voltage from the supply rail at the victim ECE 260B CSE 241A Interconnects 19

Crosstalk From Capacitive Coupling V A and V V have opposite transitions (assume R A = R V and C A = C V dv A / dt = -dv V / dt) Current through C C is given by C C * (dv A / dt + dv V / dt). This should be the same as current through equivalent capacitor, which is C CV dv V / dt C CV = C C * (1 + (dv A / dt) / (dv V / dt)) Equal rise/fall times (or both step inputs) C CV = 2C C. ECE 260B CSE 241A Interconnects 20

Crosstalk From Capacitive Coupling Glitches caused by capacitive coupling between wires An aggressor wire switches A victim wire is charged or discharged by the coupling capacitance (cf. charge-sharing analysis) An otherwise quiet victim may look like it has temporarily switched This is bad if: The victim is a clock or asynchronous reset The victim is a signal whose value is being latched at that moment What are some fixes? Aggressor Victim ECE 260B CSE 241A Interconnects 21 Slide courtesy of Paul Rodman, ReShape

Crosstalk: Timing Pull-In A switching victim is aided (sped up) by coupled charge This is bad if your path now violates hold time Fixes include adding delay elements to your path Aggressor Victim ECE 260B CSE 241A Interconnects 22 Slide courtesy of Paul Rodman, ReShape

Crosstalk: Timing Push-Out A switching victim is hindered (slowed down) by coupled charge This is bad if your path now violates setup time Fixes include spacing the wires, using strong drivers, Aggressor Victim ECE 260B CSE 241A Interconnects 23 Slide courtesy of Paul Rodman, ReShape

Delay Uncertainty Delay Noise Aggressor Relatively greater coupling noise due to line dimension scaling Tighter timing budgets to achieve fast circuit speed ( all paths critical ) Train wreck? Timing analysis can be guardbanded by scaling the coupling capacitance by a Miller Coupling Factor to account for push-in or push-out. ECE 260B CSE 241A Interconnects 24 Victim T d / T d (%) 85 80 75 70 65 60 55 50 45 40 35 30 25 Slide courtesy of Kevin Cao, Berkeley Delay Uncertainty Delay Uncertainty Nominal Delay 0.35 0.30 0.25 0.20 0.15 0.10 Technology Generation (µm)

Inductance Inductance, L, is the flux induced by current variation Measures ability to store energy in the form of a magnetic field Consists of self-inductance and mutual inductance terms At high frequencies, can be significant portion of total impedance Z = R + jωl (ω = 2πf = angular freq) 11 S 1 Self Inductance ECE 260B CSE 241A Interconnects 25 Φ = B ds Φ12 = B1 ds2 S 1 I 1 = 1 Φ 11 I S S 2 Mutual Inductance 2 = Φ 12 I

Inductance When signal is coupled to a ground plane, the current loop has an inductance. More apparent for upper layer metals and longer lines Simple lumped model: Gives interconnect transmission-line qualities Propagates signal energy, with delay; sharper rise times; ringing Magnetic flux couples to many signals computational challenge Not just coupled to immediately adjacent signals (unlike capacitors) Coupling over a larger distance Bigger lumped model: matrix of coupling coefficients not sparse ECE 260B CSE 241A Interconnects 26 Slide courtesy of Ken Yang, UCLA

Inductance is Important ωl R If where Copper interconnects R is reduced Faster clock speeds Thick, low-resistance (reverse-scaled) global lines Chips are getting larger long lines large current loops Frequency of interest is determined by signal rise time, not clock frequency ω = 2 πf = 2 π π 1 t r Massoud/Sylvester/Kawa, ECE 260B CSE 241A Synopsys Interconnects 27 Slide courtesy of Massoud/Sylvester/Kawa, Synopsys

On-Chip Inductance Inductance is a loop quantity Knowledge of return path is required, but hard to determine Signal Line Return Path For example, the return path depends on the frequency Massoud/Sylvester/Kawa, ECE 260B CSE 241A Synopsys Interconnects 28 Slide courtesy of Massoud/Sylvester/Kawa, Synopsys

Frequency-Dependent Return Path ( R >> ωl) At low frequency, and current tries to minimize impedance minimize resistance R + jω use as many returns as possible (parallel resistances) Gnd Gnd Gnd Signal Gnd Gnd Gnd ( L) ( R << ωl) At high frequency, and current tries to minimize impedance minimize inductance ( R + jωl) use smallest possible loop (closest return path) L dominates, current returns collapse Power and ground lines always available as low-impedance current returns Gnd Gnd Gnd Signal Gnd Gnd Gnd ECE 260B CSE 241A Interconnects 29 Slide courtesy of Massoud/Sylvester/Kawa, Synopsys

Inductance Trends Inductance = weak (log) function of conductor dimensions Inductance = strong function of distance to current return path (e.g., power grid) Want nearby ground line to provide a small current loop (cf. Alpha 21164) Inductance most significant in long, low-r, fast-switching nets Clocks are most susceptible ECE 260B CSE 241A Interconnects 30

Inductance vs. Capacitance Capacitance Locality problem is easy: electric field lines suck up to nearest neighbor conductors Local calculation is hard: all the effort is in accuracy Inductance Locality problem is hard: magnetic field lines are not local; current returns can be complex Local calculation is easy: no strong geometry dependence; analytic formulae work very well Intuitions for design Seesaw effect between inductance and capacitance Minimize variations in L and C rather than absolutes - E.g., would techniques used to minimize variation in capacitive coupling also benefit inductive coupling? ECE 260B CSE 241A Interconnects 31 Slide courtesy of Sylvester/Shepard

Outline Interconnects Capacitance and Inductance Resistance Delay ECE 260B CSE 241A Interconnects 32

Resistance & Sheet Resistance R = ρ L T W T L Sheet Resistance R W R 1 R 2 Resistance seen by current going from left to right is same in each block ECE 260B CSE 241A Interconnects 33

Bulk Resistivity Aluminum dominant until ~2000 Copper has taken over in past 4-5 years Copper as good as it gets ECE 260B CSE 241A Interconnects 34

Interconnect Resistance Resistance scales badly True scaling would reduce width and thickness by S each node R ~ S 2 for a fixed line length and material Reverse scaling wires get smaller and slower, devices get smaller and faster At higher frequencies, current crowds to edges of conductor (thickness of conduction = skin depth) increased R ECE 260B CSE 241A Interconnects 35

Copper Resistivity: The Real Story Resistivity (uohm-cm) Conductor resistivity increases expected to appear around 100 nm linewidth - will impact intermediate wiring first - ~ 2006 Cu Resistivity vs. Linewidth WITHOUT Cu Barrier 2.5 2.4 2.3 2.2 2.1 2 1.9 1.8 1.7 1.6 1.5 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Line Width (um) 100nm ITRS Requirement WITH Cu Barrier 70nm ITRS Requirement WITH Cu Barrier Courtesy of SEMATECH ECE 260B CSE 241A Interconnects 36 Slide courtesy of Chris Case, BOC Edwards

Outline Interconnects Capacitance and Inductance Resistance Delay ECE 260B CSE 241A Interconnects 37

Gate Delay Gate delay is a measure of an input transition to an output transition. May have different delays for different input to output paths. Inputs Outputs Different for an upward or downward transition. - t plh propagation delay from LOW-to-HIGH (of the output) A transition is defined as the time at which a signal crosses a logical threshold voltage, V THL. Digital Abstraction for 1 and 0 Often use V DD /2. Logic Gate ECE 260B CSE 241A Interconnects 38 Slide courtesy of Ken Yang, UCLA

Static CMOS Gate Delay Output of a gate drives the inputs to other gates (and wires). Only pull-up or pull-down, not both. Capacitive loads. Delay is due to the charging and discharging of a capacitor and the length of time it takes. out in out in t phl C LOAD V THL The delay of EACH is treated as separately calculable in t PD1 t PD2 out t PD = t PD1 + t PD2 ECE 260B CSE 241A Interconnects 39 Slide courtesy of Ken Yang, UCLA

RC Model We can model a transistor with a resistor (Take into account the different regions of operation?) (Use a realistic transition time to model an input switching?) We can take the average capacitance of a transistor as well The model we will primarily use: Delay = R DRV C LOAD (the time constant) R proportional to L/W - Wider device (stronger drive) in Inverter Model R DRVP out - Smaller R DRV shorter delay. R DRVN ECE 260B CSE 241A Interconnects 40 Slide courtesy of Ken Yang, UCLA

C V/I Model Another common expression for delay is C V/I. Based on the capacitance charging and discharging V is the voltage to the transition (V DD /2) Very similar model except we are breaking R into 2 components, V/I I = average drive current This helps understand what determines R I is proportional to mobility and W/L I is proportional to V 2 (V is proportional to V DD ) For example, we can anticipate what might happen if V DD drops. ECE 260B CSE 241A Interconnects 41 Slide courtesy of Ken Yang, UCLA

Interconnect: Distributing the Capacitance The resistance and capacitance of an interconnect is distributed. Model by using R and C. Π Model is the best Distributed model uses N segments. - More accurate but computationally expensive - Number of nodes blows up. Lump model uses 1 segment of Π. - Sufficient for most nets (point to point) Distributed using multiple lumps of Π model of a single wire ECE 260B CSE 241A Interconnects 42 Slide courtesy of Ken Yang, UCLA

RC Step Response - Propagating Wavefront Step response of a distributed RC wire as function of location along wire and time ECE 260B CSE 241A Interconnects 43

RC Line Models and Step Response T_th = ln (1 / (1 Th)) * T_ED (e.g., T_0.9 = 2.3 * T_ED; T_0.632 = T_ED) ECE 260B CSE 241A Interconnects 44

Elmore Delay Defined by Elmore (1948) as first moment of impulse response H(t) = step input response h(t) = impulse response = rate of change of step response T 50% = median of h(t) T ED = approximation of median of h(t) by mean of h(t) Works for monotonic waveforms Is an overestimate of actual delay Works well with symmetric impulse response (e.g., gate transition) V (t) t elm t ECE 260B CSE 241A Interconnects 45

Elmore Delay for RC Network ECE 260B CSE 241A Interconnects 46

Driving Large Capacitances t phl = C L V swing /2 V DD I av V in C L V out Transistor Sizing ECE 260B CSE 241A Interconnects 47

Driving Large Capacitances: Inverter As Buffer A U*A In C in 1 U C L = X * C in Total propagation delay = t p (inv) + t p (buffer) t p0 = delay of min-size inverter with single min-size inverter as fanout load Minimize t p = U * t p0 + X/U * t p0 U opt = sqrt(x) ; t p,opt = 2 t p0 * sqrt(x) Use only if combined delay is less than unbuffered case ECE 260B CSE 241A Interconnects 48 Slide courtesy of Mary Jane Irwin, PSU

Delay Reduction With Cascaded Buffers C L = xc in = u N C in in 1 u u 2 u N-1 out C in C 1 C 2 C L Cascade of buffers with increasing sizes (U = tapering factor) can reduce delay If load is driven by a large transistor (which is driven by a smaller transistor) then its turn-on time dominates overall delay Each buffer charges the input capacitance of the next buffer in the chain and speeds up charging, reducing total delay Cascaded buffers are useful when R int < R tr ECE 260B CSE 241A Interconnects 49 Slide courtesy of Mary Jane Irwin, PSU

t p as Function of U and X 60.0 u/ln(u) 40.0 x=10,000 x=1000 20.0 x=100 x=10 ECE 260B CSE 241A Interconnects 50 0.0 1.0 3.0 5.0 7.0 u Total line delay as function of driver size, load capacitance Homework: Derive the optimum (min-delay) value of U. Slide courtesy of Mary Jane Irwin, PSU

Reducing RC Delay With Repeaters RC delay is quadratic in length must reduce length T_50 = 0.4 * R_int * C_int + 0.7 * (R_tr * C_int + R_tr * C_L + R_int * C_L) Observation: 2 2 = 4 and 1+1 = 2 but 1 2 + 1 2 = 2 driver receiver driver receiver L = 2 units Repeater = strong driver (usually inverter or pair of inverters for non-inversion) that is placed along a long RC line to break up the line and reduce delay ECE 260B CSE 241A Interconnects 51

Optimum Number and Size of Repeaters ECE 260B CSE 241A Interconnects 52

Repeaters vs. Cascaded Buffers Repeaters are used to drive long RC lines Breaking up the quadratic dependence of delay on line length is the goal Typically sized identically Cascaded buffers are used to drive large capacitive loads, where there is no parasitic resistance We put all buffers at the beginning of the load This would be pointless for a long RC wire since the wire RC delay would be unaffected and would dominate the total delay ECE 260B CSE 241A Interconnects 53 Slide courtesy of D. Sylvester, U. Michigan