EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Similar documents
EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Etching: Basic Terminology

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Section 3: Etching. Jaeger Chapter 2 Reader

Reactive Ion Etching (RIE)

ETCHING Chapter 10. Mask. Photoresist

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Wet and Dry Etching. Theory

Henri Jansen, Meint de Boer, Rob Legtenberg and Miko Elwenspoek

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

CHAPTER 6: Etching. Chapter 6 1

LECTURE 5 SUMMARY OF KEY IDEAS

Profile simulation of gas chopping based etching processes

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

MSN551 LITHOGRAPHY II


Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Regents of the University of California

Direct write electron beam patterning of DNA complex thin films

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Etching Capabilities at Harvard CNS. March 2008

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Three Approaches for Nanopatterning

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Tilted ion implantation as a cost-efficient sublithographic

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Lecture 18: Microfluidic MEMS, Applications

Supporting file. Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal Si

SUPPLEMENTARY INFORMATION

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Mechanical Characterization of High Aspect Ratio Silicon Nanolines

U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution

Applications of Electron Beam Lithography (EBL) in Optoelectronics Device Fabrication

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Lithography and Etching

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Device Fabrication: Etch

Plasma etching. Bibliography

Supplementary information for

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Modeling of MEMS Fabrication Processes

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Overview of the main nano-lithography techniques


Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

A new method of growing graphene on Cu by hydrogen etching

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Nanostrukturphysik (Nanostructure Physics)

A Novel Nanofabrication Technique of Silicon-Based Nanostructures

Highly charged ion beams applied to fabrication of Nano-scale 3D structures. Sadao MOMOTA Kochi University of Technology

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Fabrication and application of a full wafer size micro/ nanostencil for multiple length-scale surface patterning

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Supporting Information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

EE-612: Lecture 22: CMOS Process Steps

Tolerance analysis for comb-drive actuator using DRIE fabrication

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

There's Plenty of Room at the Bottom

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Fabrication at the nanoscale for nanophotonics

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Quasi-periodic nanostructures grown by oblique angle deposition

Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Top down and bottom up fabrication

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: )

Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning

SUPPLEMENTARY INFORMATION

Sensors and Metrology. Outline

ECS Transactions, 33 (4) (2010) / The Electrochemical Society

Supporting Information Available:

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Transcription:

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang, Malaysia *Corresponding author: maryam.s.rad@gmail.com ABSTRACT In this paper, the etching profile of silicon square micro-pits array using SF 6 based Reactive ion etching (RIE) is reported. This micro-pits array was created on a Si substrate with poly methyl methacrylate (PMMA) mask during the RIE process. Effects of O 2 addition and pressure decreasing were described by chemical reactions of etching and experimental results. Atomic force microscopy (AFM) was utilized to investigate etching profile of these micro-pit arrays. Etching of micro-pits with O 2 addition results a vertical sidewall with 3 nm roughness of the inter-pit spacing, while V-groove shaped in sidewall was obtained in absence of O 2. These square micro-pits have a good potential to be used in biology applications due to its dimension, especially for confinement region for biological objects e.g. DNA. Keywords: Silicon; Micro-pit; Reactive ion etching; Sidewall; Electron beam lithography INTRODUCTION Micro and nano-pit (hole) arrays of metal [1] and semiconductors [2] have been utilized in many applications. They are of great interest due to a wide variety of applications which employed as the building blocks of photonic crystals [3, 4], the microfluidic fractionation devices of molecules [5, 6], nanoscale biosensing [7], the molds of nanoimprint lithography [8], and other novel devices in biological and physical sciences. Anisotropy, roughness and smoothness are the major features that are concerned in the characterization of micro-pits or holes fabrication. Controlling etching profile is an important factor in any silicon etching process. Vertical sidewalls are desirable in the fabrication of MEMS sensors and actuators [9, 10]. Normally, slightly U-shaped sidewalls are required with smooth surface for device application. Plasma chemistry is one of the crucial factors to determine the micro-pits sidewall shape. The fluorine-based plasmas such as SF 6 -based [11, 12] are more attractive than chlorine-based plasmas [13, 14] and bromine-based plasmas [15] for anisotropic etching 68

of Si micro-pits and trenches. In SF 6 plasma etching, an isotropic etching profile is created due to the fact that F atoms etch silicon spontaneously. Anisotropic etching and high etch rates have been demonstrated in RIE plasmas containing SF 6 and O 2 [16, 17]. Most of the fabricated micro-pits have circular shape and there are limited literatures on fabricating of square micro-pits which can be used in biochips. In this work, we have investigated the etching profile of Si micro-pits arrays during SF 6 plasma etching with PMMA mask. Chemical explanations of the O 2 addition effect to SF 6 plasma etching are provided for anisotropic micro-pits etching of silicon. The experimental results show that by decreasing the pressure, passivation layer protect the sidewall from etching and will make it anisotropic. The AFM is used to show the etching profile of silicon micro-pit. EXPERIMENTAL PROCEDURES In this work, p-type silicon (100) was cleaned in a wet chemical etch process (standard cleaning processes), using RCA cleaning method in order to desorb ionic and heavy metal atomic contaminants and remove native oxide. After that 300 nm thick poly methyl methacrylate (PMMA) 950K (% 4A) layer was coated on 1cm 1cm Si wafer. In order to get the pits around 1 1 μm 2 in size, a Raith 150 EBL tool has been used to drill micro-pit arrays of square and tilted pattern. The exposed PMMA area were developed in 1:3 MIBK:IPA for 30 s. It is followed by post baking of sample at 100 C for 2 min. The remaining PMMA on the Si substrate serves as mask for the reactive ion etching (RIE) process. In this process, RIE facility (Oxford Instruments, PlasmaLab 80 RIE) has been utilized in order to fabricate the Si micro-pit with SF 6 and O 2 etchants. RESULTS Figure 1 and show two SEM images of the ordered square and tilted micro-pits arrays around 1 1 μm in size on Si substrate after developed PMMA area for 30 s, respectively. Figs 2 and displays the SEM images of silicon square and tilted micro-pits arrays after RIE process and PMMA removal, respectively. The tilted micro-pit array was etched by SF 6 and O 2, while the square micro-pit array was etched just with SF 6. In Figure 3, AFM images of square micro-pits arrays are shown. The 3D topography of array is shown in Figure 3 in 10 10 µm 2 field. The depth of micro-pits is about 100 nm, as shown in etching profile in Figure 3. The surface of fabricated arrays can be seen in Figure 3 in a 10 10 μm 2 filed size. The V-groove shape of micro-pits are clear in the Figure 3(c) and show the quality of etching processes to get Si micro-pits arrays. 69

Figure 1: The generated micro-pits pattern on PMMA using electron beam lithography for square array and tilted arrays. The insets show the high resolution of patterns Figure 2: Displays the SEM images of silicon micro-pits arrays after RIE process for square array and for tilted array Figure 3: 3D topography of fabricated Si micro-pit array, Etching profile of Si micro-pits arrays and (c) the 2D surface image of Si micro-pits arrays 70

In Figure 4, AFM images of square micro-pits arrays are shown. The 3D topography of array is shown in Figure 4 in 16 16 µm 2 field size which the roughness of the interpit spacing is less than 3 nm (~2.56 nm). The surface of fabricated arrays can be seen in Figure 4 in a 16 16 μm 2 filed size. The depth of micro-pits is about 120 nm, as shown in etching profile in Figure 4(c). The vertical shape of micro-pits are clear in the Figure 4(c) and show the quality of etching processes to get Si micro-pits arrays. Figure 4: 3D topography of fabricated Si micro-pit array, Etching profile of Si micro-pits arrays and (c) the 2D surface image of Si micro-pits arrays DISCUSSION In our work, SF 6 based plasma etchants is selected for RIE etching of silicon micropits arrays. The mentioned used gasses, SF 6 and O 2, generate F * and O * free radical under the influence of strong electric fields generated in the reactive ion etcher, as shown in Eq. (1) and (2). According to Eq. (3), the F * radicals initiate a chemical reaction with silicon, producing the highly volatile by product SiF 4. According to Eq. (6), the O * radicals act to passivate the silicon surface by forming SiO x F y (silicon oxyfluoride). At the bottom of pit the SiO x F y layer is very thin due to intensive ion bombardment. The schematic of this etching process is shown in Figure 5. 71

Figure 5: Schematic representation of micro-pit etching in SF 6 and O 2 plasma At lower system pressures (10 mtorr), fewer reactive ions exist within the chamber at any one time, resulting in fewer collisions and fewer chances for poorly directed ions to cause lateral etching and make anisotropic sidewall as you can see in Figure 4(c). At very high oxygen concentrations, the silicon etch rate is retarded due to polymerization on the side walls and bases of etch trenches. The polymers protect the silicon from reaction with F* radicals, thereby, causing a decrease in silicon etch rate with further increases in oxygen concentration [18-20]. In fact according to equation (5) for too much O 2, silicon look like SiO 2 and etch rate of SiO 2 is less than silicon. At low oxygen concentrations (10 sccm) that we used in this work, the oxygen reacts with fluorosulfuric (SF x ) radicals, thereby hindering their reaction with F * radicals and the subsequent reformation of sulfur hexafluoride (SF 6 ). These reactions are shown in Eqs. (7) and (8). The result is a net increase in the concentration of fluoride radicals, leading to an increase in the silicon etch rate around 120 (nm) as shown in the etch profile of silicon in Figure 4 (c). There is a constant competition between the fluorine radicals that etch and oxygen radicals that passivate the silicon. Therefore, a certain concentration of O 2 is to be added to SF 6 to achieve a balance between the oxygen and fluorine radicals to 72

achieve vertical sidewalls. Meanwhile, PMMA etching rate increases by increasing the O 2 flow rate [21]. So, in this work, the flow rate of O 2 is chosen 10 sccm to get desired etching rate. From this experiments we can concluded that by decreasing pressure to 10 mtorr and adding low concentration of oxygen, the anisotropic micro-pit arrays with 120 nm depth and surface roughness less than 3 nm could be obtained. CONCLUSION We have demonstrated the effect of O 2 addition on SF 6 plasma etching of Si micro-pits etching. The results suggest O 2 etchant and pressure to be the principal factors influencing etching profile. Based on these findings, etching of micro-pits with O 2 addition results a vertical sidewall with less than 3 nm roughness of the inter-pit spacing, while V-groove shaped sidewall is obtained in absence of O 2. The etching process recipes were developed to achieve anisotropic profiles for fabricating vertical sidewalls of Si micro-pits. REFERENCES [1] D. Sinton, R. Gordon, AG. Brolo, Microfluidics and Nanofluidics 4 (2008) 107-116 [2] C.H. Liu, M.H. Hong, M.C. Lum, H. Flotow, F. Ghadessy, J.B. Zhang, Appl Phys A 101 (2010) 237-241. [3] T. Baba, N. Kamizawa, M. Ikeda, Physica B 227 (1996) 415-418 [4] Y. Xu, H.B. Sun, J.Y. Ye, S. Matsuo, H. Misawa, J. Opt. Soc. Am. B 18 (2001) 1084-1091 [5] W. Hattori, H. Someya, M. Baba, H. Kawaura, Journal of Chromatography A 1051 (2004) 141-146 [6] Y. Huang, B. Agrawal, D. Sun, J.S. Kuo, J.C. Williams, Biomicrofluidics 5 (2011) 013412 [7] D. Erickson, S. Mandal, A.H.J. Yang, B. Cordovez, Microfluid Nanofluid 4 (2008) 35 [8] X.M. Yan, S. Kwon, A.M. Contreras, M.M. Koebel, J Bokor, G A Somorjai, Catal. Lett. 105 (2005) 127 [9] R.R.A Syms, D.F. Moore, Materials Today 5 (7) (2002) 26-35 [10] R.R.A. Syms, J Micromech Microeng 12 (2002) 211-218 [11] A.J. Watts, W.J.Varhue, J. Vac. Sci. Technol. A 10 (1992) 1313 [12] Y. Lii, J. Jorne, J. Electrochem. Soc. 137 (1990) 3633 [13] E. Gogolides, H.H. Sawin, J. Electrochem. Soc. 136 (1989) 1147-1154 [14] G.S. May, J. Huang, C.J. Spanos, IEEE Trans. Semicond. Manuf. 4 (1991) 83-98 [15] I. W. Rangelow, A. Fichelscher, Proc. SPIE 1392 (1990) 240 [16] C.P.D. D Emie, K.K. Chan, J. Blum, J Vac Scl Technol B 10 (1992) 1105-1110 [17] M. Francou, J.S. Danel, L. Peccoud, Sens Actuators Phys A 46 47 (1995) 17-21 [18] D.M. Manos, D.L. Flamm, Plasma, Etching: An Introduction. Academic Press, Boston, London (1989) [19] T. Syau, B.J. Baliga, R.W. Hamaker, J Electrochem Soc 138 (1991) 3076-3081. 73

[20] R. Legtenberg, H. Jansen, M. Deboer, M. Elwenspoek, J Electrochem Soc 142 (1995) 2020-2028 [21] M. Zeuner, J. Meichsner, H.U. Poll, Plasma Sources Sci. Technol. 4 (1995) 406-415 74