Electron cyclotron resonance plasma enhanced direct current sputtering discharge with magnetic-mirror plasma confinement

Similar documents
Study of DC Cylindrical Magnetron by Langmuir Probe

Adjustment of electron temperature in ECR microwave plasma

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

Measurements of Plasma Potential Distribution in Segmented Electrode Hall Thruster

Dynamics of Drift and Flute Modes in Linear Cylindrical ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

SPECTRAL INVESTIGATION OF A COMPLEX SPACE CHARGE STRUCTURE IN PLASMA

The Q Machine. 60 cm 198 cm Oven. Plasma. 6 cm 30 cm. 50 cm. Axial. Probe. PUMP End Plate Magnet Coil. Filament Cathode. Radial. Hot Plate.

Nano-ECRIS project: a new ECR ion source at Toyo University to produce endohedral fullerenes

Fluctuation Suppression during the ECH Induced Potential Formation in the Tandem Mirror GAMMA 10

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

CHARACTERIZATION OF A DC PLASMA WITH HOLLOW CATHODE EFFECT

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates

Microwave power coupling with electron cyclotron resonance plasma using Langmuir probe

High Beta Discharges with Hydrogen Storage Electrode Biasing in the Tohoku University Heliac

FLASH CHAMBER OF A QUASI-CONTINUOUS VOLUME SOURCE OF NEGATIVE IONS

Effect of Biasing on Electron Temperature in IR-T1 Tokamak

Energy fluxes in plasmas for fabrication of nanostructured materials

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA *

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

In situ plasma diagnostics study of a commercial high-power hollow cathode magnetron deposition tool

Electrical Discharges Characterization of Planar Sputtering System

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Formation of High-b ECH Plasma and Inward Particle Diffusion in RT-1

Measurement of the Momentum Flux in an Ion Beam

Density Collapse in Improved Confinement Mode on Tohoku University Heliac

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

Magnetic Field Configuration Dependence of Plasma Production and Parallel Transport in a Linear Plasma Device NUMBER )

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

1 AT/P5-05. Institute of Applied Physics, National Academy of Sciences of Ukraine, Sumy, Ukraine

6.5 Optical-Coating-Deposition Technologies

Electron Density and Ion Flux in Diffusion Chamber of Low Pressure RF Helicon Reactor

FINAL REPORT. DOE Grant DE-FG03-87ER13727

Repetition: Practical Aspects

Production of Over-dense Plasmas by Launching. 2.45GHz Electron Cyclotron Waves in a Helical Device

Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

Effect of negative ions on the characteristics of plasma in a cylindrical discharge

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films

Anode double layer in magnetized radio frequency inductively coupled hydrogen plasma

Low-field helicon discharges

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Modélisation de sources plasma froid magnétisé

Studies of Charge Separation Characteristics for Higher Density Plasma. in a Direct Energy Converter Using Slanted Cusp Magnetic Field

The low-field density peak in helicon discharges

Enhancement of an IEC Device with a Helicon Ion Source for Helium-3 Fusion

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Department of Aerospace Engineering and Engineering Mechanics, The University of Texas at Austin, Austin, Texas 78712, USA

The effect of self-absorption in hollow cathode lamp on its temperature

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract

Chapter 7. Plasma Basics

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Langmuir Probes as a Diagnostic to Study Plasma Parameter Dependancies, and Ion Acoustic Wave Propogation

Chapter 7 Plasma Basic

Plasma diagnostics of pulsed sputtering discharge

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

MULTIPACTOR ON A DIELECTRIC SURFACE WITH LONGITUDINAL RF ELECTRIC FIELD ACTION

Noninductive Formation of Spherical Tokamak at 7 Times the Plasma Cutoff Density by Electron Bernstein Wave Heating and Current Drive on LATE

Intermittent Behavior of Local Electron Temperature in a Linear ECR Plasma )

THE INFLUENCE OF EXTERNAL MAGNETIC FIELD ON THE RADIATION EMITTED BY NEGATIVE GLOW OF A DC GLOW DISCHARGE

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

The Experimental Study on Electron Beam Extraction from ECR Neutralizer

Using a Microwave Interferometer to Measure Plasma Density Mentor: Prof. W. Gekelman. P. Pribyl (UCLA)

Electron current extraction from a permanent magnet waveguide plasma cathode

Identification of Electron Cyclotron Resonance Heating (ECR) Surfaces in the UPPR-Plasma Device Operating in the Cusp and Mirror Mode.

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

Overview the CASTOR Fast Particles experiments

Sputter Ion Pump (Ion Pump) By Biswajit

MICROCHIP MANUFACTURING by S. Wolf

Plasma properties determined with induction loop probes in a planar inductively coupled plasma source

Generation and Acceleration of High-Density Helicon Plasma Using Permanent Magnets for the Completely Electrodeless Propulsion System )

Chaotic-to-ordered state transition of cathode-sheath instabilities in DC glow discharge plasmas

Electron Density Measurements of Argon Surface-Wave Discharges

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

1 Hall-Current Ion Sources

Determination of flux ionization fraction using a quartz crystal microbalance and a gridded energy analyzer in an ionized magnetron sputtering system

- 581 IEPC the ion beam diagnostics in detail such as measurements of xenon with double charges, Introduction

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Contents: 1) IEC and Helicon 2) What is HIIPER? 3) Analysis of Helicon 4) Coupling of the Helicon and the IEC 5) Conclusions 6) Acknowledgments

Multicusp Sources for Ion Beam Lithography Applications

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Electron Energy Distributions in a Radiofrequency Plasma. Expanded by Permanent Magnets

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454

Experimental Analysis of a Low-Power Helicon Thruster

arxiv:physics/ v2 [physics.plasm-ph] 6 Nov 2004

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Helicon Plasma Thruster Experiment Controlling Cross-Field Diffusion within a Magnetic Nozzle

The Effect of the Discharge Chamber Structure on the Performance of a 5 cm-diameter ECR Ion Thruster

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Destruction of a Magnetic Mirror-Trapped Hot Electron Ring by a shear Alfven Wave

Transcription:

Electron cyclotron resonance plasma enhanced direct current sputtering discharge with magnetic-mirror plasma confinement M. Mišina, a) Y. Setsuhara, and S. Miyake Joining and Welding Research Institute, Osaka University, 11-1 Mihogaoka, Ibaraki, Osaka 567, Japan Received 25 October 1996; accepted 24 January 1997 Plasma confinement in a mirror magnetic field was applied to the electron cyclotron resonance ECR plasma enhanced dc sputtering discharge sustained at pressures below 10 2 Pa. Ion current densities up to 12 ma/cm 2, plasma densities about 10 11 cm 3 and electron temperatures about 25 ev were measured by a Langmuir probe. The cathode current was maximum when the ECR zone was close to the cathode z ECR 10 cm measured from the center of the cathode. A mirror ratio MR 3 was necessary for sustaining the discharge at simultaneously large microwave powers and cathode voltages. An application of the system studied to the ion assisted deposition of metallic and compound thin films with a controlled crystal structure is proposed. 1997 American Vacuum Society. S0734-2101 97 02004-6 I. INTRODUCTION Microwave electron cyclotron resonance ECR plasma enhanced sputtering hereafter abbreviated as ECR sputtering is an advanced deposition technique developed during the last decade. 1 7 Ionization and excitation of the working medium by a microwave ECR discharge result in low working pressures down to 5 10 3 Pa, large substrate ion fluxes of 1 10 ma/cm 2 and in a high reactivity of the molecular gas. A number of examples of the beneficial influence of the microwave ECR plasma enhancement of the sputtering discharge on the deposition of various types of thin films can be found in the literature. 8 15 One of the advantages of ECR sputtering is the low working pressure. A good confinement of plasma electrons along magnetic field lines is crucial for the operation of the ECR discharge at pressures of about 10 2 Pa and lower. In principle, the electrons can be reflected back into the plasma by a negative potential of an electrode electrostatic confinement or by the force F e e grad B magnetic confinement, where e 2m 1 e v 2 e /B is the magnetic moment of an electron with the velocity v e, m e is the electron mass and grad B is the gradient of the magnetic flux density B. The symbols and denote vector components parallel and perpendicular to the magnetic field. The configuration of the ECR sputtering which includes a divergent magnetic field and a hollow cylindrical cathode 1 3 employs a combination of electrostatic and magnetic confinements EMCs of electrons along magnetic field lines. A confinement between two electrostatic mirrors is employed in the system with a planar cathode and a cylindrical cathode connected by magnetic field lines. 6 This is in principle similar to the magnetron, where a number of magnetic field lines crosses the same cathode. ECR sputtering with a magnetron was also studied. 5,7,16,17 A pure magnetic field confinement in the mirror field has not yet been tested a Permanent address: Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 180 40 Praha 8, Czech Republic; Electronic mail: misina@fzu.cz for ECR sputtering. Such a system could provide a steady operation at very low pressures p 10 2 Pa with a large ion flux to a substrate. The microwave ECR discharge in the mirror magnetic field has been used previously in the plasma fusion research and for the ion sources. However, it is not clear to what extent the presence of the cathode and of the sputtered metal atoms will affect the discharge characteristics. The present article is devoted to the investigation of ECR sputtering with plasma confinement in a mirror magnetic field and a hollow cylindrical cathode located in the plane of symmetry of the magnetic trap. The measurements of the cathode current and plasma parameters as a function of the discharge parameters cathode voltage U d, microwave power P, argon pressure p, spatial distribution of the magnetic field are reported. II. EXPERIMENTAL DETAILS A schematic of the microwave ECR sputtering apparatus with the magnetic-mirror plasma confinement is presented in Fig. 1. A water-cooled stainless steel vacuum chamber of 135 mm in inner diameter i.d. was equipped with a cylindrical water-cooled hollow cathode with a copper target. The target was 100 mm in length and 110 mm in i.d. The part of the chamber between the flange with a waveguide and the cathode was approximately 200 mm in length. The magnetic field was produced by two identical coils. The spatial distribution of the magnetic field was modified by the variation of the distance of coils v and/or by the use of a yoke. The yoke consisted of two 8 mm thick soft iron plates that were placed at the inner side of the coils, see Fig. 1. The main characteristics of the magnetic field distribution are the mirror ratio (MR), i.e., the ratio of the magnetic field at the throat and at the bottom of the magnetic trap and the axial distance of the ECR zone from the center of the cathode z ECR ; see Fig. 1. Both MR and z ECR are increased by increasing coil distance v or by using the yoke. A larger mirror ratio is achieved for the same z ECR when the yoke is used. 1922 J. Vac. Sci. Technol. A 15(4), Jul/Aug 1997 0734-2101/97/15(4)/1922/7/$10.00 1997 American Vacuum Society 1922

1923 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1923 FIG. 1. Schematic of the ECR plasma enhanced dc sputtering apparatus with magnetic-mirror plasma confinement. An axial distribution of the magnetic flux density B at the axis is shown in the upper part for coil current I c 420 A and coil distance v 35 cm. Microwaves of 2.45 GHz frequency and up to 1.3 kw power were launched into the plasma along the apparatus axis by direct coupling of a rectangular waveguide with the vacuum chamber. The microwave transmission line consisted of a magnetron, a ferrite isolator with a dummy load, an E-H tuner and a quarter-wavelength transformer to a narrow waveguide followed by an E corner that coupled the waveguide to the vacuum chamber. A quartz window between the transformer and the E corner was used to seal the vacuum. An E corner of the 104 mm 54.6 mm cross section was used in first experiments. In this case the quartz window was screened from atoms sputtered from the cathode; however it was covered by the material from the inner wall of the waveguide sputtered by the plasma ions accelerated in the gradient of the magnetic field and in the plasma sheath. No deposits were observed on the quartz window after many hours of operation after replacing the E corner with a narrow 104 mm 13.1 mm cross-sectional one with a double bend. The incident microwave power was inferred from the anode current of the magnetron while the reflected power was measured by a crystal sensor attached to a directional coupler in the side branch of the ferrite isolator. The vacuum chamber was evacuated by a turbomolecular pump 500 l /s to the base pressure p 0 1.5 10 4 Pa. The discharge was operated in argon at pressures ranging from 4 10 3 Pa to 2 10 1 Pa. The pressure was adjusted by the argon flow. The values given in this article refer to the readings of the ionization gauge prior to the ignition of the discharge. The actual pressures in the discharge region could differ considerably from these values due to gas heating, enhanced desorption and burnout of the gas in the plasma. 18,19 As we had no control over the gas pressure in the region of discharge, only these nominal values are given. An axially and radially movable planar Langmuir probe was used for measurements of plasma parameters plasma and floating potentials, plasma density, electron temperature. The probe consisted of the tip of a molybdenum wire 2 mm in diameter, shielded by a ceramics tube. The probe was constructed in such a way that measurements could be made during deposition of the metal films. An electrically floating metal plate with a slot for the Langmuir probe was used for the radial distribution measurements of the plasma parameters. The metal plate stabilized the plasma which was only slightly affected by the probe position as confirmed by a minor variation of the reflected microwave power and of the cathode current with the radial position of the probe. III. RESULTS AND DISCUSSION A. Discharge characteristics Operation of the ECR sputtering discharge was possible in the pressure range from 4 10 3 Pa to 2 10 2 Pa. The JVST A - Vacuum, Surfaces, and Films

1924 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1924 FIG. 2. The microwave power-cathode voltage parameter space of the selfsustained discharge for p 5 10 3 Pa and two mirror ratios, MR 2.3 and MR 2.9. The substrate holder was not in the vacuum chamber. ECR discharge was generally not self-sustained at lower pressures, although under some conditions we obtained discharge even for p 4 10 3 Pa. However, in these cases a faint light emission from the plasma seemed to originate from a narrow channel around the device axis and only small cathode currents of about 10 ma were measured. This is not enough for an efficient sputter deposition and therefore we did not pay more attention to this plasma mode. A microwave breakdown occurred inside the vacuum part of the waveguide at pressures higher than 2 10 2 Pa. Most of microwave energy was then absorbed before entering the discharge chamber and, consequently, the cathode current was again too small. In the test without the substrate holder in the vacuum chamber it was found that the discharge is not self-sustained when large microwave powers and large cathode voltages are used simultaneously. This is quite opposite to the case of EMC, where at pressures lower than 10 2 Pa the discharge is self-sustained only when a sufficiently high negative bias is applied to the cathode. 20 In the present case, the border of the FIG. 3. Cathode current as a function of the coil current for p 5 10 3 Pa, U d 300 V, P 200 W and various configurations of magnetic coils. From the uppermost curve: coil distance v 35 cm, yoke, substrate holder SH at z s 12 cm; v 35 cm, yoke, without SH; v 40 cm, without SH; v 45 cm, without SH. FIG. 4. Plasma density, electron temperature, plasma and floating potentials as a function of microwave power measured at z 8 cmandr 0cmfor U d 0V,p 5 10 3 Pa, z ECR 10 cm and MR 2.9. region of the self-sustained discharge in the microwave power-cathode voltage parameter space moves to higher values with increasing mirror ratio MR; see Fig. 2. The values of P and U d which are available for MR 2.9 already enable sputtering with a reasonable deposition rate. Moreover, the discharge became self-sustained up to P 1.3 kw and U d 1 kv maximum outputs of the sources used in the experiment when an electrically floating substrate holder was placed close to the ECR zone, further distant from the microwave launcher, see Fig. 1. The cathode current also was increased in this case; see Fig. 3. On the other hand, the discharge was extinguished by a positive bias about 25 V of the substrate holder. All these phenomena can be explained by changes in the confinement of plasma electrons: 1 With increasing microwave power the electron temperature increases; see Fig. 4. Larger electron temperature results in larger diffusion coefficients of electrons e.g., D B kt e /16B for the Bohm diffusion across the magnetic field, i.e. the losses of electrons increase. 2 The electrons are confined in the plasma also thanks to the potential well, i.e. by the large positive plasma potential U pl. U pl 60 V was measured by the Langmuir J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

1925 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1925 FIG. 7. I V characteristics of the ECR sputtering discharge for p 5 10 3 Pa, various P, z ECR 10 cm, MR 2.9 and z s 12 cm. FIG. 5. Plasma density, electron temperature, plasma and floating potentials as a function of cathode voltage measured at z 9 cmandr 0cmfor P 200 W, p 5 10 3 Pa, z ECR 10 cm and MR 2.9. probe. This positive plasma potential decreases with increasing negative bias of the cathode to U pl 40 V see Fig. 5 and the electron losses increase again. 3 In the mirror machine of the present geometry the electrons escape from the plasma mainly along the chamber axis, i.e., along magnetic field lines. Confinement is improved by increasing the mirror ratio or by inserting an electrically floating plate which reflects all the electrons with the parallel component of energy smaller than e(u pl U fl ), where e is the electron charge and U fl is the floating potential. The efficiency of the method for sputter deposition was characterized by measurement of the cathode current I d as a function of process parameters: magnetic coil current I c, cathode voltage U d, pressure p and microwave power P. I d increases with increasing I c until I c I cr and then saturates; see Fig. 3. I cr is a function of the magnetic field distribution. We compared various parameters of the magnetic field distributions for I c I cr : the magnetic flux densities at the bottom and at the throat of the trap, the gradient of the magnetic field at the ECR position and the distance z ECR of the ECR zone from the center of the cathode. Among those parameters it was the distance z ECR that exhibited the smallest scattering being 10 11 cm in three cases and 7 cm in one case. 21 We conclude that small z ECR 10 cm is the most relevant condition for achieving the maximum cathode current. I d as a function of P or U d can be described in a similar way; see Figs. 6 and 7: first a rapid increase with P (U d ) FIG. 6. Cathode current as a function of the microwave power for p 5 10 3 Pa, various U d, z ECR 10 cm, MR 2.9 and z s 12 cm. FIG. 8. Cathode current as a function of the argon pressure for U d 400 V, P 100 W and 300 W, z ECR 10 cm, MR 2.9, z s 12 cm. JVST A - Vacuum, Surfaces, and Films

1926 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1926 FIG. 9. Plasma density and electron temperature as a function of axial position z for U d 0 V, P 200 W, p 5 10 3 Pa, z ECR 10 cm and MR 2.9. increasing up to 300 W 200 V, then a much smaller increase or even saturation. I d varied only slightly with the pressure; see Fig. 8. B. Langmuir probe measurement of plasma parameters Floating and plasma potentials U fl and U pl, electron temperature T e and plasma density n pl were measured by means of a plane Langmuir probe LP for 5 10 3 Pa pressure and for the magnetic field configuration which was characterized by z ECR 10 cm and MR 2.9. Plasma density was inferred from the saturated ion current density i sat and T e using the equation n pl i sat (m i /kt e ) 1/2 /e, where k is the Boltzmann constant and m i is the ion mass. The axial distributions of T e and n pl confirm that the plasma is confined between the ECR zones as was reported by Arata et al.; 22 see Fig. 9. The absolute values of n pl 10 11 cm 3 and T e 15 20 ev are smaller and larger, respectively, than the values reported by Junck and Getty 18 for the magnetic-mirror confined plasma and the same pressure and microwave power as in the present experiment. The comparison of the radial distributions of n pl, T e, U fl and U pl for U d 0 V and 600 V at the axial position z 12 cm is presented in Fig. 10. The negative bias of the cylindrical cathode results in i reduction of U pl from about 60Vto 30 V; ii decrease of T e, which is especially large at large diameters r 3 cm, where the electrons are probably cooled down by collisions with sputtered atoms; iii homogeneous distribution of n pl for radii r 3 cm; and iv a sharp, large peak of n pl at r 3.5 cm with its value at the maximum twice as large as n pl for r 3 cm. The location of this peak at r 3.5 cm and z 12 cm is connected along a magnetic field line with the cathode surface, whose position is given by r 5.5 cm and 5 cm z 5 cm. As plasma transport occurs mainly along magnetic field lines, the peak of n pl measured by the LP at r 3.5 cm shows an increase of n pl in the vicinity of the cathode. This means that a dc discharge sustained by the microwave plasma has probably developed. The increased plasma density near the cathode FIG. 10. Plasma density, electron temperature, plasma and floating potentials as a function of radius for z 12 cm, p 5 10 3 Pa, P 400 W, two values of the cathode voltage U d 0V open symbols and U d 600 V solid symbols, z ECR 10 cm and MR 2.9. can be then ascribed to the action of secondary electrons from the cathode. Note that the dc power dissipated at the cathode P dc 600 W is larger than the microwave power P 400 W. The radial distributions of n pl and T e for U d 0 V with the maxima off the axis reflect the radial distributions of the ionization rate and electron heating due to the absorption of microwaves. The distribution of microwave power density with a minimum at the axis is a consequence of the dependence of the refractive index of microwaves on the plasma density and, possibly, the occurrence of the plasma resonance absorption of the microwaves. 23 The plasma density is J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

1927 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1927 FIG. 11. The radial distribution of the saturated ion current density at z s 12 cm for p 5 10 3 Pa, U d 0V,z ECR 10 cm, MR 2.9 and P ranging from 100 W to 800 W. just about the value of the critical plasma density n cr 7 10 10 cm 3 for the microwave frequency 2.45 GHz. The radial distribution of the ion current density i sat also has a minimum at the axis. The minimum fills up with increasing microwave power; see Fig. 11. The values of the substrate ion current densities i sat 10 ma/cm 2 may enable extensive ion assistance during the deposition of thin films. The plasma parameters were also measured as a function of the microwave power and the cathode voltage; see Figs. 4 and 5. The increasing P results in increasing T e, U pl and U fl. Increasing U d results mainly in decreasing U pl. The consequences of these variations of plasma parameters for the confinement of the electrons were discussed above. Heating and generation of the plasma by second harmonic resonance defined by 2 ce i.e., at B B ECR /2 438 G for 2 2.45 GHz were reported in the literature, see Ref. 24, and references therein. Here, and ce denote the driving and electron cyclotron angular frequencies, respectively, and B ECR is the resonance magnetic field. In our experimental conditions, the second harmonic resonance itself was not able to ensure a stable generation of the discharge. This is confirmed by the fact that the discharge extinguished with the peak magnetic field B max decreasing to below 900 G. In Ref. 22, it was suggested that the observed off-axis heating of the plasma electrons occurred at the second harmonic resonance zone which was located at the periphery of the plasma column i.e., it did not intersect the device axis. However, this cannot explain the radial distribution of the electron temperature with an off-axis peak in Fig. 10, because in this case the second harmonic resonance zone fills the whole cross section of the cylindrical discharge chamber the magnetic field at the bottom of the trap is B min 390 G. 21 IV. CONCLUSIONS The measurements reported in this article show that ECR sputtering with the magnetic-mirror plasma confinement and cylindrical hollow cathode is characterized by low working pressures 5 10 3 Pa p 2 10 2 Pa, cathode currents up to 1 A and high ion fluxes to the substrate up to 12 ma/cm 2. These characteristics predestine this process for ion-assisted deposition of metal and compound thin films with controlled crystal structure. Details of the operation of the sputtering apparatus were investigated. It was found that the cathode current is maximum when the ECR zone is less than about 10 cm from the center of the cathode. The electron confinement worsens with increasing microwave power and negative cathode bias due to increasing electron temperature and decreasing plasma potential, respectively. In this way the discharge is not self-sustained for large microwave powers and negative cathode biases if the electron confinement along the magnetic field lines is not sufficient. The electron confinement is improved by a larger MR and/or by a negatively biased substrate holder. The discharge was self-sustained at least up to P 1.3 kw and U d 1 kv for MR 2.9 and substrate holder potential U s U fl. Measurements of the axial and radial distributions of the plasma parameters by Langmuir probe show that the plasma densities n pl 10 11 cm 3 and electron temperatures T e 25 ev are maximum between the ECR zones and off the axis. The measurements revealed a decrease in electron temperature and a doubling of plasma density at positions connected to the cathode by magnetic field lines. In a subsequent experiment ECR sputtering with magnetic-mirror confinement was used for deposition of crystalline TiNi thin films at low substrate temperatures T s 300 C. 25 ACKNOWLEDGMENTS One of the authors M.M. is grateful to the Japanese Ministry of Education, Science, Sports and Culture for the financial support during his stay at the Joining and Welding Research Institute, Osaka University, Japan. 1 T. Ono, C. Takahashi, and S. Matsuo, Jpn. J. Appl. Phys. 23, L534 1984. 2 S. Takehiro, N. Yamanaka, H. Shindo, S. Shingubara, and Y. Horiike, Jpn. J. Appl. Phys. 30, 3657 1991. 3 M. Mišina and J. Musil, Surf. Coat. Technol. 74-75, 450 1995. 4 P. Kidd, J. Vac. Sci. Technol. A 9, 466 1991. 5 Y. Yoshida, Rev. Sci. Instrum. 62, 1498 1991. 6 M. Matsuoka and K. Ono, J. Appl. Phys. 65, 4403 1989. 7 C. Takahashi, M. Kiuchi, T. Ono, and S. Matsuo, J. Vac. Sci. Technol. A 6, 2348 1988. 8 M. Kadota, T. Kasanammi, and M. Minakata, Jpn. J. Appl. Phys. 31, 3013 1992. 9 M. Matsuoka, K. Hoshino, and K. Ono, Proceedings of the International Symposium on 3d Transition-Semi-Metal Thin Films, Sendai, Japan, 1991, p. 29. 10 S. Itabashi and H. Yoshihara, Thin Solid Films 221, 79 1992. 11 T. Goto, H. Masumoto, and T. Hirai, Jpn. J. Appl. Phys. 28, L88 1989. 12 H. Masumoto, T. Goto, and T. Hirai, Appl. Phys. Lett. 55, 498 1989. 13 T. Inukai, M. Matsuoka, and K. Ono, Thin Solid Films 257, 22 1995. 14 M. Matsuoka and S. Tohno, J. Vac. Sci. Technol. A 13, 2427 1995. JVST A - Vacuum, Surfaces, and Films

1928 Mišina, Setsuhara, and Miyake: ECR plasma enhanced dc sputtering 1928 15 J. N. Kidder and W. J. Varhue, J. Vac. Sci. Technol. A 10, 1414 1992. 16 M. Tuda, K. Ono, M. Taki, and K. Namba, Jpn. J. Appl. Phys. 33, 1530 1994. 17 Y. Sakamoto and H. Kokai, Jpn. J. Appl. Phys. 32, 3985 1993. 18 K. L. Junck and W. D. Getty, J. Vac. Sci. Technol. A 12, 2767 1994. 19 M. D. Kilgore, H. M. Wu, and D. B. Graves, J. Vac. Sci. Technol. B 12, 494 1994. 20 J. Musil, M. Mišina, and M. Čepera, Czech. J. Phys. 46, 353 1996. 21 M. Mišina, Y. Setsuhara, and S. Miyake, Trans. JWRI 24, 11 1995. 22 Y. Arata, S. Miyake, and H. Kishimoto, Jpn. J. Appl. Phys. 26, 2079 1987. 23 J. Musil, Vacuum 36, 161 1986. 24 O. A. Popov, in Physics of Thin Films, Vol. 18: Plasma Sources for Thin Film Deposition and Etching, edited by M. H. Francombe and J. L. Vossen Academic, New York, 1994, pp. 142 144. 25 M. Mišina, Y. Setsuhara, and S. Miyake, Jpn. J. Appl. Phys. in press. J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997