Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Similar documents
Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Sensors and Metrology. Outline

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

High Optical Density Photomasks For Large Exposure Applications

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

EUV Lithography Towards Industrialization

Critical Dimension Uniformity using Reticle Inspection Tool

PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Impact of Pellicle on Overlay in Double Patterning Lithography

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Sensors and Metrology

Development status of back-end process for UV-NIL template fabrication

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

MEMS Metrology. Prof. Tianhong Cui ME 8254

Current development status of Shin-Etsu EUV pellicle

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

EUVL Readiness for High Volume Manufacturing

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Single Pass Die to Database Tritone Reticle Inspection Capability

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Soft X - Ray Optics: Fundamentals and Applications

custom reticle solutions

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Mask induced polarization effects at high NA

DQN Positive Photoresist

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Characterization of Optical Proximity Correction Features

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

Chromeless Phase Lithography (CPL)

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Characterization of MEMS Devices

Rapid and precise monitor of reticle haze

A Reticle Correction Technique to Minimize Lens Distortion Effects

Photolithography II ( Part 1 )

A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS)

IEUVI Mask Technical Working Group

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Improving Yield for High Pin Count Wafer Probing Applications

ASML Approach to Euv Reticle Handling

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Evaluating Labsphere s new UV-2000

Demonstration of Near-Infrared Negative-Index Materials

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

We published the text from the next page.

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion

Cost Implications of EUV Lithography Technology Decisions

Simultaneous Temperature and Strain Sensing for Cryogenic Applications Using Dual-Wavelength Fiber Bragg Gratings

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

ABSTRACT 1. INTRODUCTION

Competitive Semiconductor Manufacturing

Model 2300XP PSL & Process-Particle Wafer Deposition System

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Improved Diffraction Computation with a Hybrid C-RCWA-Method

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Overview of Nanotechnology Applications and Relevant Intellectual Property NANO POWER PATENTS

CUSTOM RETICLE SOLUTIONS

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Tilted ion implantation as a cost-efficient sublithographic

THIN-FILM MEASUREMENT

Introduction to Fourier Transform Infrared Spectroscopy

Small Angle Scattering - Introduction

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Nanostrukturphysik (Nanostructure Physics)

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC

Visual Test Light Scattering Reticle. Users Guide


SUPPLEMENTARY INFORMATION

Noncontact electrical metrology of Cu/low-k interconnect for semiconductor production wafers

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

Accurate and Reliable Optical CD of MuGFET down to 10nm

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

THE APPLICATION OF THERMAL DIFFUSIVITY IMAGING TO SIC-FIBER

Newsletter 1/2014. Super-polished copper a new substrate material. Fabrication of neutron collimators launched

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Systems Approaches to Estimation Problems in Thin Film Processing

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS

Transcription:

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology, Inc., Santa Clara, CA ABSTRACT The fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Traditionally, CD-SM has been the instrument of choice for the measurement of width; AFP (Atomic Force Profilometer) or conventional profilometer for the measurement of depth; and Interferometer for the measurement of phase shift and transmittance of the phase shift material. We present an innovative optical metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analysis is performed using Forouhi-Bloomer dispersion equations, in conjunctions with Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from 190 1000 nm) for all materials present in the structure. In the current study, the method described above was used to examine photomasks at two stages of mask manufacturing process: After tch Inspection (AI) and After Strip Inspection (ASI). The results were compared with the measurements taken on the same samples using conventional CD-SM. Two comparison studies were conducted global CD uniformity and CD linearity. The CD linearity study demonstrated excellent correlation between the values of grating line width obtained using this new optical reflectometry approach and a CD-SM for the grating structures of two pitches (760 nm and 1120 nm). The global CD uniformity study revealed that this presented reflectometry method can be used to produce CD uniformity maps which demonstrate excellent correlation with the results obtained using a conventional CD-SM. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process. Keywords: Optical metrology, critical dimensions, broadband reflectometry, Forouhi-Bloomer dispersion equations, RCWA, CD linearity. I. INTRODUCTION In order to insure quality of the product, photomask manufacturers of today are seeking new ways to reliably measure critical dimensions on their masks. Conventional techniques, such as CD-SM and AFM are being replaced by faster, non-destructive optical instruments, and new metrological methods are being developed and refined continuously. Measurements of critical dimensions is important on every stage of the mask manufacturing process starting with the measurement of the photoresist lines on chrome and ending with the measurement of the final pellicalized reticle. Fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Characterization of the CD and phase shift uniformity distribution on a photomask requires a multiple-point measurement across the photomask surface. This type of measurement may take hours on a CD-SM. Moreover, at some stages of the process, this measurement is altogether impossible on a CD-SM due to the severe charging of the sample. Metrology method we present here is capable of measuring critical dimensions and phase shift at every stage of the mask manufacturing process. The technique is based on the simultaneous measurement of broadband reflectance and Photomask Technology 2006, edited by Patrick M. Martin, Robert J. Naber, Proc. of SPI Vol. 6349, 63491O, (2006) 0277-786X/06/$15 doi: 10.1117/12.686150 Proc. of SPI Vol. 6349 63491O-1

transmittance spectra, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analyses of both types of spectra are performed simultaneously, using the Forouhi-Bloomer dispersion equations, in conjunctions with the Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from 190 1000 nm) for all materials present in the structure. II. MASURMNT MTHOD In the current study, all the measurements were performed using a spectrophotometer-based instrument (n&k R-T Scatterometer), capable of collecting continuous broadband reflectance and transmittance spectra in the range between 190 and 1000 nm in one nanometer intervals. The light source was equipped with a rotating polarizer, which facilitates T and TM polarizations of the incident light. As a result, four spectra (R s, R p, T s and T p ) can be obtained during one measurement of the same location. The measurement spot diameter is 35 microns. For this particular study, transmittance spectra were used strictly for the characterization of the optical properties of the materials used in the film structure. The data was analyzed using the Forouhi-Bloomer dispersion relations in conjunction with the Rigorous Coupled Waves Analysis (RCWA). The two polarized reflectance spectra collected at each site were analyzed using a nonlinear regression algorithm in order to obtain critical dimensions, thickness, and optical properties (n and k spectra from 190 1000 nm) for all materials present in the structure. Generally, the method described above can be used to simultaneously analyze any combination of polarized and/or unpolarized reflectance and transmittance spectra. Analysis of several spectra at the same time is generally a lengthier process; however, it facilitates more stable and unambiguous results. The following figure depicts a typical fit between four measured spectra and four calculated spectra, generated by the analysis software. Rs & Rp / Ts & Tp (%) 100 80 60 40 20 Rs-exp Rs-cal Rp-exp Rp-cal Ts-exp Ts-cal Tp-exp Tp-cal 0 200 300 400 500 600 700 800 900 1000 Wavelength (nm) Figure 1: Typical fit between four measured spectra (R s -exp, R p -exp, T s -exp, T p -exp) and their calculated counterparts (R s -cal, R p -cal, T s -cal, T p -cal). The method can also be used to determine a detailed profile of a periodic grating structure. The following figure illustrates an example of such analysis applied to the characterization of the profile of the photoresist lines on chrome (ADI structure). The cross section of the same grating structure obtained using SM is displayed on the right. Proc. of SPI Vol. 6349 63491O-2

Jwnw Figure 2: Typical ADI trench profile obtained using the technique described above. III. MASURMNT OF AI AND ASI PHAS SHIFT MASKS The method described above was applied to the measurement of CD uniformity and linearity on the After tch Inspection (AI) and After Strip Inspection (ASI) phase shift photomasks. The samples were generously provided by the Taiwan Semiconductor Manufacturing Company. The following grating structures were measured: AFT Mask Cross Section I I Cr I AST Mask Cross Section Quaitz Quaitz Figure 3: Schematic drawing of the cross section of measured AI and ASI trench structures. For the global CD uniformity study, each sample was measured at 121 locations across the mask. At each location an 800 nm pitch grating array was measured with the purpose of obtaining trench depth, line width and thickness of all films present in the structure. The following figure depicts global CD uniformity comparison between n&k R-T Scatterometer and CD-SM for the AI photomask. Proc. of SPI Vol. 6349 63491O-3

n&k R-T Scatterometer AI Mask CD (Pitch 800 nm) CD-SM " + 1-4 + 1- + + + 1-4 + + 1-4 62O24H 64 (cm) Maximum Width: 411.4 nfl' Maximum Width: 411.6_nfl' Minimum Width: 404.8 nfl Minimum_Width: 403.9_mn Mean Width: 407.8 mn Mean_Width: 407.3_nm 3o Standard Dev.: 3.7 nm 3o Standard Dev.: 4.5 nfl' Figure 4: Global AI CD uniformity comparison between n&k R-T Scatterometer and CD-SM. ((n) The following figure depicts global CD uniformity comparison between n&k R-T Scatterometer and CD-SM for the ASI photomask. Figure 5: Global ASI CD uniformity comparison between n&k R-T Scatterometer and CD-SM. For the purpose of the linearity study, 760 and 1120 nm pitch gratings were measured at the center and at the edge of each mask. For 760 nm pitch gratings, at each site 11 grating arrays with nominal line widths of 310, 312, 314, 316, Proc. of SPI Vol. 6349 63491O-4

318, 320, 322, 324, 326, 328 and 330 nanometers were measured. For 1120 nm pitch gratings, at each site 11 grating arrays with nominal line widths of 390, 392, 394, 396, 398, 400, 402, 404, 406, 408 and 410 nanometers were measured. The results were compared to the measurements obtained using a conventional CD-SM. The following plots illustrate typical correlation between the n&k R-T Scatterometer and the CD-SM. AI Mask CD Linearity (Pitch 1120 mn) (Center) AI Mask CD Linearity (Pitch 1120 mn) (dge) 430.0 I I.5. S c 1.0031x 1- C.997x + 12.97 12.97 425.0 0.9892 R10.9936.' V. 5 5 r 410.0 420.0 5, 2 2 405.0 415.0 5' 410.0 /5 0-395.0 I I I I I 405.0 I I I I I 390.0 385.0 390.0 395.0 400.0 405.0 410.0 390.0 395.0 400.0 405.0 410.0 415.0 420.0 CD-SM Result (nni) CD-SM Result (nni) r Figure 6: Correlation between measurements using CD-SM and n&k R-T Scatterometer on AI mask. 330.0 a 5 325.0 5 5 320.0 315.0 (F) 335.0 310.0 305.0 300.0 ASI Mask CD Linearily (Pitch 760 nm) (Center) V. y 1.0099x + 48 0.9728........, 4 /..4 305.0 310.0 315.0 320.0 CD-SM Result (nni) 5 0 335.0 (F) 355.0 350.0 a 5, 345.0 340.0 330.0 ASI Mask CD Linearily (Pitch 760 nm) (dge).,, 0.9908x +4.8 R20.9716 '.4 325.0 325.0 330.0 328.0 325.0 330.0 335.0 340.0 345.0 350.0 CD-SM Result (nni) '4 p 4 Figure 7: Correlation between measurements using CD-SM and n&k R-T Scatterometer on ASI mask. V. APPLICATION TO TH MASURMNT OF PLLICALIZD PHOTOMASKS Modern-day photomasks are usually pellicalized at the end of the mask manufacturing process. A pellicle is a thin protective layer, mounted over the surface of the mask with help of an aluminium frame. The function of the pellicle is to protect the mask surface from contamination. The particles which are stuck to the pellicle have no effect on the wafer image, since they appear significantly out of focus. A prospect of measuring critical dimensions on a pellicalized mask is very tempting, since that way the exposure of the actual mask to particles is minimized. It is, however, very challenging, since the measurement has to be done through the pellicle. Obviously, any type of conventional measurement (AFM, CD-SM, etc.) would be impossible only an optical measurement could be accomplished through the pellicle without damaging the membrane. Proc. of SPI Vol. 6349 63491O-5

Several attempts have been made to use scatterometry-base techniques to measure pellicalized masks, however the intensity of the light reflected off the mask surface and through the membrane is too low for a robust measurement. Therefore, a transmittance-based technique has to be used for an effective CD measurement. Typical polarized transmittance spectra (T s and T p ) obtained on a grating array measured through a pellicle are presented in the figure below. 100 80 a 60 ob I 40 20 0 200 300 400 500 600 700 800 900 1000 Wavelength (nm) Figure 8: Typical polarized transmittance spectra (T s and T p ) obtained on a grating array measured through a pellicle. The most important question raised by the manufacturers who want to be able to use the optical technique for the measurement of pellicalized mask is: How does the pellicle affect the measurement, and how is the measurement obtained through a pellicle compare to the conventional measurement without a pellicle? The figures below illustrate the comparison between the results obtained on the same ASI mask with and without a pellicle. The measurement was performed at 25 locations on the mask, and at each location 5 gratings of various pitches and critical dimensions were measured. ASI Measurement through Pellicle ASI Measurement without Pellicle 0.370 0.370 Line Width Line CD (in (micron) microns) 0.350 0.330 0.310 0.290 0.270 Line Width Line CD (in (micron) microns) 0.350 0.330 0.310 0.290 0.270 P190 P200 P210 P220 P250 0.250 0 5 10 15 20 25 Site Index Number Site Index Number 0.250 0 5 10 15 20 25 Site Site Index Index Number Figure 9: Comparison between the results obtained on the same ASI mask with and without a pellicle. Features P190, P200, P210, P220 and P250 correspond to gratings with pitches 760, 800, 840, 880 and 1000 nm. It is evident that with an appropriate analysis recipe adjustment, the measurements through the pellicle match the results obtained without the pellicle. VI. SUMMARY A fast non-destructive optical metrology method was applied to the measurement of AI and ASI phase shift photomasks. Grating structures of various pitched and critical dimensions were measured using a spectrophotometerbased instrument (n&k R-T Scatterometer) and analyzed using the Forouhi-Bloomer dispersion relations, in conjunction Proc. of SPI Vol. 6349 63491O-6

with RCWA, to extract the values of n and k, film thickness, and trench dimensions. The obtained results demonstrate excellent correlation with CD-SM measurements of the same samples. The same method was used to measure critical dimensions of a pellicalized ASI photomask. The obtained results demonstrate excellent correlation with the results obtained on the same mask with the pellicle removed. The described metrology solution has the advantages of high throughput and non-destructive nature over conventional metrology techniques, such as AFM or CD-SM. The instrument has the capability of measuring a wide variety of structures pertinent to the photomask manufacturing process and can be easily integrated with other tools. Acknowledgements We would like to thank Johnson Hung and W.C. Wang of the Taiwan Semiconductor Manufacturing Company (TSMC) for providing the samples and CD-SM measurement results. We would also like to thank George Li of n&k Technology for supervising the measurements and analysis of the samples. Proc. of SPI Vol. 6349 63491O-7