MODELLING AND SIMULATION OF ULTRASOUND NON LINEARITIES MEASUREMENT FOR BIOLOGICAL MEDIUMS

Similar documents
Finite Element Modeling of Ultrasonic Transducers for Polymer Characterization

N db compared with that in the single pulse harmonic imaging mode, whereas

Today s menu. Last lecture. Ultrasonic measurement systems. What is Ultrasound (cont d...)? What is ultrasound?

31545 Medical Imaging systems

Structure of Biological Materials

Today s menu. Last lecture. Measurement of volume flow rate. Measurement of volume flow rate (cont d...) Differential pressure flow meters

Presented at the COMSOL Conference 2009 Milan. Analysis of Electromagnetic Propagation for Evaluating the

OPTIMISATION METHOD FOR ULTRASONIC TRANSDUCERS USED IN MEDICAL IMAGING

EE 5345 Biomedical Instrumentation Lecture 6: slides

Lamb Wave Behavior in Bridge Girder Geometries

Output intensity measurement on a diagnostic ultrasound machine using a calibrated thermoacoustic sensor

The parametric propagation in underwater acoustics: experimental results

Doppler echocardiography & Magnetic Resonance Imaging. Doppler echocardiography. History: - Langevin developed sonar.

ANALYSIS AND NUMERICAL MODELLING OF CERAMIC PIEZOELECTRIC BEAM BEHAVIOR UNDER THE EFFECT OF EXTERNAL SOLICITATIONS

Shear waves in solid-state materials

The parametric propagation in underwater acoustics : experimental results

SUBSURFACE WAVES IN SOLIDS WITH CURVED SURFACE AND ACOUSTICAL IMPEDANCE ON IT

Bioengineering 280A Principles of Biomedical Imaging. Fall Quarter 2006 Ultrasound Lecture 1

31545 Medical Imaging systems

Outline of today Medical Imaging systems. Wave types. 1. Discussion assignment on B-mode imaging

Simulation of Contrast Agent Enhanced Ultrasound Imaging based on Field II

Lamb Waves in Plate Girder Geometries

Ultrasonic Measurement of Minute Displacement of Object Cyclically Actuated by Acoustic Radiation Force

Sound wave bends as it hits an interface at an oblique angle. 4. Reflection. Sound wave bounces back to probe

Physical principles of Harmonic Imaging Min Joo Choi, PhD

Study and design of a composite acoustic sensor to characterize an heterogeneous media presenting a complex matrix

Angular Spectrum Decomposition Analysis of Second Harmonic Ultrasound Propagation and its Relation to Tissue Harmonic Imaging

(INCLUDING THIS FRONT PAGE)

UNIVERSITY OF SOUTHAMPTON

ULTRASONIC INSPECTION OF TITANIUM ALLOYS WITH A TIME REVERSAL

Fast simulation of nonlinear radio frequency ultrasound images in inhomogeneous nonlinear media: CREANUIS

ACOUSTIC EFFECTS OCCURRING IN OPTICAL BREAKDOWN WITH A LIQUID BY LASER RADIATION

D. BARD DIVISION OF ENGINEERING ACOUSTICS, LUND UNIVERSITY

A model for the ultrasonic field radiated by an Electro-Magnetic Acoustic Transducer in a ferromagnetic solid

Feasibility of non-linear simulation for Field II using an angular spectrum approach

R. Latif a, E. Aassif b, M. Laaboubi b, A. Moudden b and G. Maze c. Acoustics 08 Paris

DETERMINATION OF THE B/A OF BIOLOGICAL MEDIA BY MEASURING AND MODELING NONLINEAR DISTORTION OF PULSED ACOUSTIC WAVE IN TWO-LAYER MEDIA TAMARA KUJAWSKA

ULTRASOUND. Ultrasound physical phenomenon properties basics of medical applications, History. History

13th International Symposium on Nondestructive Characterization of Materials (NDCM-XIII), May 2013, Le Mans, France

Supplement (videos)

Chapter 2. Interaction with Soft Tissue

Pixel-based Beamforming for Ultrasound Imaging

The Physics of Doppler Ultrasound. HET408 Medical Imaging

CROSS-TALK RESPONSE ANALYSIS ON A PIEZOELECTRIC ULTRASONIC MATRIX ARRAY

ESTIMATION OF LAYER THICKNESS BY THE COST FUNCTION OPTIMIZATION: PHANTOM STUDY

EL-GY 6813/BE-GY 6203 Medical Imaging, Fall 2016 Final Exam

CERN LIBRARIES, GENEVA

LAMB WAVES GENERATION USING A TRANSDUCER EMBEDDED IN A COMPOSITE PLATE

Caution! Pay close attention to the special operation and safety instructions in the manual of the ultrasonic echoscope.

Technical University of Denmark

MODELLING OF RECIPROCAL TRANSDUCER SYSTEM ACCOUNTING FOR NONLINEAR CONSTITUTIVE RELATIONS

CHAPTER 5 ANALYSIS OF EXTRAPOLATION VOLTAGES

EXEMPLARY PROBLEMS APPENDIX B CHAPTER 1

Transducer design simulation using finite element method

FROM NEAR FIELD TO FAR FIELD AND BEYOND

CHAPTER 1 INTRODUCTION TO ACOUSTIC SATURATION

Thermal Analysis of a piezo-disk ultrasound probe

Ultrasonic particle and cell separation and size sorting

Pulse-echo method can't measure wave attenuation accurately

Overview. Sensors? Commonly Detectable Phenomenon Physical Principles How Sensors Work? Need for Sensors Choosing a Sensor Examples

Contents. Associated Editors and Contributors...XXIII

PROPERTY STUDY ON EMATS WITH VISUALIZATION OF ULTRASONIC PROPAGATION

EVALUATION OF DAMAGES DUE TO ALKALI-SILICA REACTION WITH ACOUSTICS TECHNIQUES. DEVELOPMENT OF A NEW NONLINEAR METHOD.

White Rose Research Online URL for this paper:

The physics US and MRI. Prof. Peter Bogner

Physics and Knobology

Periodic Assembly of Multi-Coupled Beams: Wave Propagation and Natural Modes

Acoustic Velocity, Impedance, Reflection, Transmission, Attenuation, and Acoustic Etalons

Interaction of weak shocks leading to Mach stem formation in focused beams and reflections from a rigid surface: numerical modeling and experiment

Determination of full set material constants of piezoceramics from phase velocities

inter.noise 2000 The 29th International Congress and Exhibition on Noise Control Engineering August 2000, Nice, FRANCE

Analysis of the conical piezoelectric acoustic emission transducer

UNCERTAINTIES PROPAGATION STUDY OF THE TRANSIT TIME METHODS IN ULTRASONIC ANEMOMETERS.

FEM Simulation of Generation of Bulk Acoustic Waves and Their Effects in SAW Devices

Mandatory Assignment 2013 INF-GEO4310

CHAPTER 2 CHARACTERIZATION OF THE REC TECHNIQUE

Proceedings of Meetings on Acoustics

Signal Loss. A1 A L[Neper] = ln or L[dB] = 20log 1. Proportional loss of signal amplitude with increasing propagation distance: = α d

Transmission Line Basics II - Class 6

Microwave-induced thermoacoustic tomography using multi-sector scanning

Basic System. Basic System. Sonosite 180. Acuson Sequoia. Echo occurs at t=2z/c where c is approximately 1500 m/s or 1.5 mm/µs

Absolute Nonlinear Parameter Estimation using Relative Nonlinear Parameter measured from Surface Acoustic Wave

Piezoelectric sensing and actuation CEE575

Chapter 2 Surface Acoustic Wave Motor Modeling and Motion Control

EFIT SIMULATIONS FOR ULTRASONIC NDE

Elec Eng 3BA3: Structure of Biological Materials

ACOUSTIC TRANSMISSION WITH MODE CONVERSION PHENOMENON

19 th INTERNATIONAL CONGRESS ON ACOUSTICS MADRID, 2-7 SEPTEMBER 2007

arxiv: v3 [physics.ins-det] 1 Feb 2013

International Journal of Pure and Applied Sciences and Technology

IMPROVEMENT OF TIME REVERSAL PROCESSING IN TITANIUM INSPECTIONS

Nondestructive Determination of Elastic Constants of Thin Plates Based on PVDF Focusing Ultrasound Transducers and Lamb Wave Measurements

Measurements of acoustic properties of aqueous dextran solutions in the VHF/UHF range

Negative refraction of ultrasonic waves in 2D phononic crystals.

Transient, planar, nonlinear acoustical holography for reconstructing acoustic pressure and particle velocity fields a

Ultrasonic Flow Metering Errors due to Pulsating Flow

Transmission Lines. Plane wave propagating in air Y unguided wave propagation. Transmission lines / waveguides Y. guided wave propagation

Application and analysis of phononic crystal energy harvesting devices

Modeling transducer impulse responses for predicting calibrated pressure pulses with the ultrasound simulation program Field II

Transcription:

ICSV14 Cairns Australia 9-12 July, 2007 MODELLING AND SIMULATION OF ULTRASOUND NON LINEARITIES MEASUREMENT FOR BIOLOGICAL MEDIUMS Djilali Kourtiche, Rachid Guelaz and Mustapha Nadi LIEN, Nancy-Université, Faculté des sciences et techniques BP 239, 54506 Vandœuvre, France. djilali.kourtiche@lien.uhp-nancy.fr Abstract We present an implementation of the nonlinear propagation in an ultrasonic measurement modeling with VHDL-AMS IEEE-1046-1999 language. The system is dedicated to nonlinear mediums characterization by a compared method measurement. Usual modelling of ultrasonic transducers are based on electrical analogy and are not simulated in the global measurement environment. The ultrasonic transducer modelling proposed is simulated with the nonlinear acoustic load and electronic excitation. The nonlinear B/A parameter is used to characterize medium with a comparative method. The measurement cell is composed of two piezoelectric ceramic transducers which are implemented with the Redwood s electric scheme. The analyzed medium is placed between the transducers and modeled to take into account the nonlinear propagation with the B/A parameter. The usual transmission line model has been modified to take into account the nonlinear propagation for a one dimensional wave. Results obtained with simulation of mediums characterization with (blood, milk, liver and human fat tissue) showed good a modeling in agreement between modelling and experimental measurement, also a maximum error of about 12.5%.

1. INTRODUCTION The ultrasonic imaging calls upon very advanced technologies in term of high-speed signal processing and conception of ultrasonic transducers matrix in nanotechnology methodology. The useful signal is generally the fundamental frequency of the resonance transducers. However recent studies show the interest of the harmonic frequencies analysis generated by the crossing of ultrasonic waves in biological environments. Works show the improvement of the image quality as in the case of the second harmonic imaging [1]. In addition to the image quality, the measurement method of the nonlinear parameter B/A makes it possible to envisage a mediums characterization. The integration of this parameter in ultrasonic system modelling is an essential stage of the measurement system conception study for multi layer biological environment analysis. Two types of methods make it possible to evaluate the nonlinearity parameter : the thermodynamics methods [2] and the finited amplitude methods [3]. The first is not credible for in vivo measurement because of the precise variation needed in environmental parameters such as the temperature or the pressure in tissues. The second method is more realist and consists in analyzing the harmonic wave propagation of the ultrasonic signal. The coupling of the various physical natures parts (electronics and acoustics) requires the use of mixed language such as VHDL-AMS IEEE 1076-1999 to determine precisely the influence of each part in the measurement system. Usual modellings of ultrasonic systems use an acoustic model medium assimilated to an electric propagation line without losses [4] and without nonlinear aspect. Our model based on this same theory of electric propagation line integrates the nonlinearity B/A parameter, by a recurrent formulation of the Burger s equation solution [5]. The measurement system modelling is based on a nonlinear medium excitation by an ultrasonic transducer vibrating at a fixed frequency fo. An identical transducer is placed at the end of the measurement cell and makes it possible to analyse the acoustic wave in the propagation axis of the transmitting source. The electric signal analysis of the receiver transducer is used to identify the acoustic signal at its fundamental frequency and its second harmonic by a fast Fourier transform. Parameter B/A is estimated by a comparative method [6] with water like reference medium and ethanol like analysed medium. The parameter B/A estimation shows a measurement system modelling adapted to the ultrasonic medium characterization study. 2. THE MEASUREMENT SYSTEM MODELLING 2.1 The measurement principle of a comparative method The measurement system principle for study the ultrasonic characterization is presented in figure 1. A transducer emits an acoustic wave at a frequency fo through medium. A receiving transducer vibrating at the same frequency fo in a first case is placed at the end of the measuring cell. In a second case, we study the response obtained with a transducer vibrating at 2fo in order to improve the sensitivity measurement. The transducers are assembled with air like backing medium (Rback in figure 1) with acoustic impedance Z = 425 Rayl and are stuck in Plexiglas structure. The modelling of the global measurement system is presented by figure 2. The transducers are based on behavioural temporal model of Redwood [7]. The nonlinear medium are represented by "non_linear_medium" component and correspond to the model of a nonlinear acoustic layer.

d Electric excitation emission transducer y Nonlinear Medium: y reception transducer Reception signal Ve(t) Ve(f) V 0 f t f x ρ,c 0,B/A P 0 P 1 a P 2 o acoustic wave Figure1. Principle of measurement cell x z a V Vs(t) Vs(f) 1 V 2 f 2f f t Piezoceramic layer Nonlinear Medium Delay (Td) v 2 v 1 Zo Zo F 2 Z back F 1 Fii Ftt I 3 Co T V 3 R Co Emitter Piezoceramic layer v 2 v 1 F 2 Z back F 1 Co T Receiver C Scope R Scope Co Figure 2. Modelling of the global ultrasound system measurement 1.2 Theoretical aspect of the non linear propagation The propagation equation in nonlinear acoustic medium is based on the Burger formulation. This equation is true if we consider not attenuation and not diffraction. The plane wave propagation in a nondissipative medium (without losses) is described below:

u β. u. = 0 2 u z co τ (1) With co the acoustic medium celerity, u is the particles velocity and τ = t z/co, z is the axis propagation. β=1+0.5*b/a is the nonlinear parameter in liquid mediums. In the case of sinusoidal incident wave, the solution of the equation is given by: u( z, t) = sin t z co+ β. u( z, t) The shock front appearance in the wave form is characterized by a coefficient noted σ (0< σ<1). So the relation (3) began: u( z, t) = sin w. t w co+.. u. l β σ (3) ( z, t) With l = 1/( β*k*m), k = w/co with w the pulsation of the wave in the beginning, M = Uo/co is the Mach number, Uo is the amplitude of the ultrasonic source and σ = β.w.uo.z/co² the shock formation coefficient. (2) 1.3 Implementation of non linearities in a linear propagation line Implementation of medium nonlinearity consists in modify the linear propagation line model which is based on the Branin model [8] presented Figure 3. u i Zo Zo u t F i F t Figure 3. Equivalent electric diagram of the acoustic linear propagation in a medium Notations i and t refer to the incident and the transmitted acoustic wave. u designate the velocity and F the force assimilated to pressure. Zo is medium characteristic impedance. The formulation (3) is included with a recurrent aspect in F t which represents the acoustic wave retarded by the medium propagation time. A recurrent equation represents the formulation (3) which depends on the simulation temporal step in agreement with the parameter dt. f corresponds to the excitation frequency of the emitter transducer, co is the celerity specific to the medium and Td corresponds to the flight time of the acoustic wave. A starting condition is necessary to initialise the ultrasonic wave in order to avoid discontinuities problems in the acoustic wave form. The nonlinear acoustic medium model with VHDL-AMS language is :

ENTITY Nonlinearlayer IS GENERIC (Zo, Td, f, co, BsurA, sig, l, dt: REAL); PORT (TERMINAL p1,m1,p2,m2 : Kinematic_v); END Nonlinearlayer; ARCHITECTURE simple OF Nonlinearlayer IS terminal t11,t22,t7,t8,m7,m8 : Kinematic_v; QUANTITY F1 ACROSS p1 TO m1; QUANTITY F2 ACROSS p2 TO m2; QUANTITY F11z ACROSS u1i THROUGH t11 TO m1; QUANTITY F1z ACROSS u11i THROUGH t11 TO p1; QUANTITY F2z ACROSS u22t THROUGH t22 TO p2; QUANTITY F22z ACROSS u2t THROUGH t22 TO m2; QUANTITY Fbelow across ubelow through t7 to m7; QUANTITY F across u through t8 to m8; BEGIN if now < Dt USE Fbelow == F; F == sin(2.0*math_pi*f*dt - f*2.0*math_pi*sig*l/(co)); ELSE Fbelow == F'DELAYED(dt); F == sin(2.0*math_pi*f*now - f*2.0*math_pi*sig*l/(co*(1.0 + (1.0+BsurA/2.0) * (Fbelow)/co))); END USE; if now < Td USE F22z == 0.0; F11z == - F1z; F1z == u11i *Zo/2.0; F2z == u22t *Zo/2.0; ELSE F22z == F'DELAYED(Td) - F2z; F11z == F2'DELAYED(Td) - F1z; F1z == (u11i + u22t'delayed(td))*zo/2.0; F2z == (u22t + u11i'delayed(td))*zo/2.0; End USE; end simple; 1.4 B/A calculation with a comparative method The reformulation of B/A parameter thanks to theoretical simulation is given by a comparative method [6]. This method requires to analyse the frequency spectrum of a medium taken as reference such as the water whose parameter B/A is supposed to be known, and to carry out following calculation: 2 3 B Vsr2 1...... 2 2 2 Vsr ρ c ρ c = x r r r x x B (4) 1.. 3 A x Vs Vsr ρ c c A r x x x ρ r r r Notations r and x referred to respectively the medium reference parameters and the analysed medium parameters. Vs1 and Vs2 are the fundamental amplitude and the second harmonic amplitude. ρ is the volumic density. c is the acoustic celerity. In this formulation, diffraction and attenuation effect are neglected. 2. SIMULATION RESULTS The studied transducers are produced with PZT ceramic of P188 type (Quartz et silice ) with characteristics are recalled in table 1.

Table 1. Transducers acoustic characteristics Parameters Quantity Value Value Type A Type B F 0 Frequency resonance (MHz) 2.25 4.5 A Area (mm²) 132.73 132.73 e Thickness (mm) 1 0.5 Zt Acoustic impedance (Mrayls) 34.9 34.9 c 0 Acoustic velocity (m/s) 4530 4530 Co Capacitor of the ceramic disc (pf) 1109.8 2910 Ε 33 Dielectric constant 650.0 650.0 kt Thickness coupling factor 0.49 0.49 h 33 Piezoelectric Constant 1.49 10 9 1.49 10 9 The software used for VHDL-AMS simulation is ADMS v3.0.2.1 of Mentor Graphics company. The global measurement cell modelling implemented with VHDL-AMS writing of the figure 2 is simulated. The amplitude of the emitter transducer is fixed at 1Volt with a frequency of 2,25 MHz. The electric response issued to the receiver transducers is analyzed by a Fast Fourier transform with rectangular window for 10µs. Biological mediums analyzed in simulation are compared with the measurement cell results for liquid mediums like water, blood and milk. B/A parameter of human fat tissue and liver is considered well known in followings works [2,3]. Table 2 gives acoustic characteristics of simulated mediums. Table 2. Medium biologic acoustic characteristics Medium Acoustic impedance MRayl Acoustic speed m/s B/A Water 1.5 1509 5.0 Blood 1.678 1586 6.0 Human fat tissue 1.376 1445 10.9 Liver 7.6 1573 6.54 Milk 1.569 1531 5.9 Fundamental and second harmonic amplitude obtained with the fast Fourier transform as function to the biological mediums analyzed are presented in table 3. These values are then used to estimate B/A parameter with the relation (4) and compared to B/A parameter obtained with in vitro measurements (table 2). Figure 4 shows results obtained.

Table 3 Amplitude of fundamentals and second harmonics with simulation in Volt Medium Fundamental amplitude at Second Harmonic at 2.25 MHz 4.5 MHz Water 0.579 V 0.5 V Blood 0.569 V 0.499 V Human fat tissue 0.545 V 0.787 V Liver 0.572 V 0.528 V Milk 0.578 V 0.536 V 12 B/A Simulation results In vitro Measurements 10,9 10 9,54 8 6 6,087 6 6,33 6,54 6,271 5,9 4 2 0 Blood Human fat tissue Figure 4. B/A parameter obtained in simulation compared to measurements value. B/A estimation in simulation shows that we can characterize biological mediums with a sufficient precision between different mediums. For milk and blood we must also take into account the measurement of the acoustic celerity to differentiate the two mediums. For biological tissues like human fat and liver we obtain a great sensibility for the B/A estimation so we can easily predict the biological nature of the medium for this two cases. Maximum relative error is made with human fat tissue with an error of 12.5%. Liver Milk 3. CONCLUSION The utilization of VHDL-AMS language shows the advantage to combine multiphysic discipline. Integration of nonlinear ultrasound aspect in simulation is also a new approach here. Usual medium modellings are based on transmission line theory and our medium model implements the nonlinear propagation phenomenon and permits us to analyze harmonic generation for a sinusoidal excitation case. Characterization of some biological mediums gives a serious opportunity to perform ultrasonic imaging system. B/A obtain in simulation is in good agreement with thermodynamic experimental results. B/A parameter used for simulate mediums have been obtain with a

precision which depends of measurement methodology for example with blood we find a B/A of 6.0 with thermodynamic method [2] and 7.3 with finite amplitude method [3]. REFERENCES [1] T. Christopher. Experimental investigation of finite amplitude distortion-based second harmonic pulse echo ultrasonic imaging,, IEEE Trans Ultrason. Ferroelect. Freq. Contr 45 158-162 (1998) [2] J.Zhang et al. Influences of structural factors of biological media on the acoustic nonlinearity parameter B/A J. Acoust. Soc. Am 89.80-91 (1991) [3] Z.Lu et al. A phase-comparison method for measurement of the acoustic nonlineariry parameter B/A Meas. Sci. Technol. 9 1699-705 (1998) [4] S. R. Ghorayeb et al. Modelling of ultrasonic wave propagation in teeth using PSPICE: a comparison with finite element models vol. 48, IEEE UFFC. 4. 1124-1131 (2001) [5] J.M. Burgers A mathematical model illustrating the theory of turbulence Advances in applied mechanics 1 171-199 [6] D Kourtiche., L Allies, A Chitnalah and M.Nadi Harmonic propagation of finite amplitude sound beams: comparative method in pulse echo measurement of nonlinear B/A parameter Measurement Science and technology,12 1990-1995 (2001) [7] R. Guelaz, D. Kourtiche, M. Nadi A behavioral description with VHDL-AMS of a piezo-ceramic ultrasound transducer based on the Redwood's model, proceedings FDL 03 Forum on Specification and Design Languages, 32-43 (2003) [8] F. Branin Transcient analysis of lossless transmission lines, proceedings of IEEE 55 2012-2013 (1967)