DIFFUSION - Chapter 7

Similar documents
ECE 416/516 IC Technologies

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Properties of Error Function erf(z) And Complementary Error Function erfc(z)

ION IMPLANTATION - Chapter 8 Basic Concepts

September 21, 2005, Wednesday

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

MATHEMATICS OF DOPING PROFILES. C(x,t) t. = D 2 C(x,t) x 2. 4Dt dx '

Dopant Diffusion Sources

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Dopant and Self-Diffusion in Semiconductors: A Tutorial

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Quiz #1 Practice Problem Set

MOS CAPACITOR AND MOSFET

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

MOSFET: Introduction

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Diffusion in Extrinsic Silicon and Silicon Germanium

Ion Implantation ECE723

The Intrinsic Silicon

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Lecture 12: MOS Capacitors, transistors. Context

Semiconductor Physics Problems 2015

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Current mechanisms Exam January 27, 2012

ECE 340 Lecture 39 : MOS Capacitor II

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

Diffusion in Extrinsic Silicon

Chapter 7. The pn Junction

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Section 12: Intro to Devices

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

6.012 Electronic Devices and Circuits

Classification of Solids

Chapter 3 Basics Semiconductor Devices and Processing

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

Lecture 04 Review of MOSFET

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 8 pages plus an appendix page at the end.

Semiconductor Physics fall 2012 problems

Section 12: Intro to Devices

Lecture 210 Physical Aspects of ICs (12/15/01) Page 210-1

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

Spring Semester 2012 Final Exam

The Devices. Jan M. Rabaey

Diffusion. Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion

The Devices. Devices

Electronics The basics of semiconductor physics

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Review of Semiconductor Fundamentals

EE410 vs. Advanced CMOS Structures

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

6.012 Electronic Devices and Circuits

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

Chapter 9 Ion Implantation

ECE 142: Electronic Circuits Lecture 3: Semiconductors

FIELD-EFFECT TRANSISTORS

Electrical Characteristics of MOS Devices

Review Energy Bands Carrier Density & Mobility Carrier Transport Generation and Recombination

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Student Number: CARLETON UNIVERSITY SELECTED FINAL EXAMINATION QUESTIONS

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Chapter 1 Overview of Semiconductor Materials and Physics

EE 560 MOS TRANSISTOR THEORY

ECEN 3320 Semiconductor Devices Final exam - Sunday December 17, 2000

Lecture 0: Introduction

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Topics to be Covered. capacitance inductance transmission lines

Devices. chapter Introduction. 1.2 Silicon Conductivity

an introduction to Semiconductor Devices

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices: MOS Transistors

Lecture 5: CMOS Transistor Theory

The 5 basic equations of semiconductor device physics: We will in general be faced with finding 5 quantities:

EE-612: Lecture 22: CMOS Process Steps

Chapter 8 Ion Implantation

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: MOSFET N-Type, P-Type. Semiconductor Physics.

EECS130 Integrated Circuit Devices

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Electric Field--Definition. Brownian motion and drift velocity

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors. Fabrication of semiconductor sensor

6.152J / 3.155J Spring 05 Lecture 08-- IC Lab Testing. IC Lab Testing. Outline. Structures to be Characterized. Sheet Resistance, N-square Resistor

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Transcription:

DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same factor. Generally doping levels need to increase and x J values need to decrease. R contact V G Silicide V S R poly x W R source R ext R chan Sidewall Spacers V D Year of Production 1998 2 22 24 27 21 213 216 218 Technology Node (half pitch) 25 nm 18 nm 13 nm 9 nm 65 nm 45 nm 32 nm 22 nm 18 nm MPU Printed Gate Length 1 nm 7 nm 53 nm 35 nm 25 nm 18 nm 13 nm 1 nm Contact Resistivity r C (Ω cm 2 ) 2x1-7 1.6x1-7 1x1-7 6x1-8 1.7x1-8 8.7x1-9 5x1-9 x J S/D Extension Abruptness (nm/decdade) S/D Extension Sheet Resistance 4.1 2.8 TBD TBD TBD TBD 66 89 1875 51 55 58 (PMOS) (Ω/sq) S/D Extension x J (nm) 5-1 42-7 25-43 2 14 7.2 1 7.2 5.1 Min Supply Voltage (volts) 1.8-2.5 1.5-1.8 1.2-1.5.9-1.2.8-1.1.7-1- 6-.9.5-.8.5-.7 1

The resistivity of a cube is given by a) b) x j J = nqv = nqµε = 1 ρ ε ρ = ε J Ωcm (1) R = ρ ρ S = ρ/x j The sheet resistance of a shallow junction is R = ρ x j Ω / Square ρ S (2) For a non-uniformly doped layer, Depth ρ s = ρ x j = q x j 1 [ n( x) N B ] µ n x [ ( )] dx Eqn. (3) has been numerically integrated by Irvin for simple analytical profiles (example later). (3) Sheet resistance can be experimentally measured by a four point probe technique. Doping profiles can be measured by SIMS (chemical) or spreading R (electrical). 2

"Predep" controlled dose Silicon Drive-in constant dose Diffusion is the redistribution of atoms from regions of high concentration of mobile species to regions of low concentration. It occurs at all temperatures, but the diffusivity has an exponential dependence on T. Predeposition: doping often proceeds by an initial predep step to introduce the required dose of dopant into the substrate. Drive-In: a subsequent drive-in anneal then redistributes the dopant giving the required x J and surface concentration. Ion Implantation and Annealing Solid/Gas Phase Diffusion Advantages Room temperature mask No damage created by doping Precise dose control Batch fabrication 1 11-1 16 atoms cm -2 doses Accurate depth control Problems Implant damage enhances diffusion Dislocations caused by damage may cause junction leakage Implant channeling may affect profile Usually limited to solid solubility Low surface concentration hard to achieve without a long drivein Low dose predeps very difficult 3

1 22 Solid Solubility (atoms cm -3 ) As P 121 B Sb 12 Sn Ga Al 119 8 9 1 11 12 13 Temperature ( C) Dopants are soluble in bulk silicon up to a maximum value before they precipitate into another phase. As + Si As V Dopants may have an electrical solubility that is different than the solid solubility defined above. One example - As 4 V - electrically inactive complex. 4

Concentration Fin ΔC Δx t 1 t 2 F = - D dc/dx Distance Fout Macroscopic dopant redistribution is described by Fick s first law, which describes how the flux (or flow) of dopant depends on the doping gradient. 5 F = D C x (4) D is the diffusivity (cm 2 sec -1 ). D is isotropic in the silicon lattice. Fick s second law describes how the change in concentration in a volume element is determined by the fluxes in/out of the volume. C t = F x = x D C x If D is a constant this gives (5) C t = D 2 C x 2 (6) This is rarely true in practice but this is the only form of Fick's second law which can be solved analytically.

Analytic Solutions Of Fick s Laws 1. Limited Source: Consider a fixed dose Q, introduced as a delta function at the origin. 1 Dose Q t=t Diffused Gaussian x The solution that satisfies Fick s second law is C( x,t) = Q x2 exp (7) 2 πdt 4Dt Important consequences: 1. Dose Q remains constant 2. Peak concentration decreases as 1/ t 3. Diffusion distance increases as 2 Dt 1.8 t=4t.1 t=9t Concentration.6.4.1.1 t=t.2.1 t=4t t=9t -5-4 -3-2 -1 1 2 3 4 5 X (Units of Diffusion Distance 2 Dt ) 1-5 6-5 -3-1 1 3 5 X (Units of Diffusion Distance 2 Dt )

2. Constant Source Near A Surface: Imaginary Delta Function Dose Q Delta Function Dose Q (Initial Profile) This is similar to the previous case except the diffusion only goes in one direction. Virtual Diffusion Diffused Gaussian C( x,t) = Q πdt x2 exp = C(,t)exp x2 (8) 4Dt 4Dt x 3. Infinite Source: Dose C x C x Initial Profile The infinite source is made up of small slices each diffusing as a Gaussian. C( x,t) = C 2 πdt n ( ) 2 Δx i exp x x i (9) 4Dt i=1 x i Diffused Profile The solution which satisfies Fick s second law is x C(x,t) = C x x 1 erf = C S erfc (1) 2 2 Dt 2 Dt 7

erf(x/2 Dt) 1.8.6.4.2 t=9t t=4t Initial t=t -5-4 -3-2 -1 1 2 3 4 5 X (Units of 2 Dt ) Important consequences of error function solution: Symmetry about mid-point allows solution for constant surface concentration to be derived. Error function solution is made up of a sum of Gaussian delta function solutions. Dose beyond x = continues to increase with annealing time. (See Appendix A.9 in text for properties of erfc.) 4. Constant Surface Concentration: Just the right hand side of the above figure. ( ) = C S erfc C x,t Note that the dose is given by Q = C S x 1 erf = 2C S 2 Dt π x (11) 2 Dt 8 Dt (12)

Intrinsic Dopant Diffusion Coefficients Intrinsic dopant diffusion coefficients are found to be of the form: D = D exp E A kt (13) Si B In As Sb P Units D 56 1. 1.2 9.17 4.58 4.7 cm 2 sec -1 E A 4.76 3.5 3.5 3.99 3.88 3.68 ev 1-11 12 11 T ( C) 1 9 8 Diffusivity (cm 2 sec -1) 1-13 1-15 1-17 P In As B Sb Note that n i is very large at process temperatures, so "intrinsic" actually applies under many conditions. Note the "slow" and "fast" diffusers. Solubility is also an issue in choosing a particular dopant. 1-19.65.7.75.8.85.9.95 1 1/T (Kelvin) 9

Effect Of Successive Diffusions If a dopant is diffused at temperature T 1 for time t 1 and then is diffused at temperature T 2 for time t 2, the total effective Dt is given by the sum of all the individual Dt products. Dt eff = Dt = D 1 t 1 + D 2 t 2 +... (14) The Gaussian solution only holds if the Dt used to introduce the dopant is small compared with the final Dt for the drive-in; i.e. if an initial delta function approximation is reasonable. Example: In a bipolar transistor, if the emitter profile is formed by a predep and the base profile by an implant + drive-in, then the junctions occur where: Base Emitter x C S erfc = 2 Dt Q x2 exp πdt 4Dt Base (Emitter Dt) (Base+Emitter Dt) Collector 1 Q πdt x2 exp = C B 4Dt

12 Design Of Diffused Layers Surface Concentration (cm -3 ) 1 19 1 18 C B = 1 15 C B = 1 14 C B = 1 16 C B = 117 C B = 1 18 Eqn. (3) has been numerically integrated for specific cases (erfc and Gaussian). Example of Irvin s curves, in this case for P type Gaussian profiles. (Other examples are in Appendix A.8 in the text.) 1 17 1 1 1 Effective Conductivity (ohm-cm) -1 N Well P Well We can now consider how to design a boron diffusion process (say for the well or tub of a CMOS process - Figs. 2.1-2.12), such that: P { ρ S = 9 Ω / square x j = 3 µm N BC = 1x1 15 cm -3 (substrate concentration) 11

The average conductivity of the layer is σ _ = 1 ρ S x j = 1 (9Ω / sq)(3 1 4 cm) = 3.7 ( Ω cm) 1 From Irvin s curve we obtain C S 4 117 / cm 3 We can surmise that the profile is Gaussian after drive-in. C BC = Q πdt exp x 2 j 4Dt = C S exp x 2 j 4Dt so that Dt = X j 2 4ln C s C bc = ( 3x1 4 ) 2 4ln 4x117 1 15 = 3.7x1 9 cm 2 If the drive-in is done at 11 C, then the boron diffusivity is D = 1.5 1 13 cm 2 sec 1 The drive-in time is therefore t drive in = 3.7 1 9 cm 2 = 6.8 hours 1.5 1 13 cm 2 / sec 12

Given both the surface concentration and Dt, the initial dose can be calculated for this Gaussian profile. Q = C S π Dt = 4 1 17 ( )( π ) 3.7 1 9 ( ) = 4.3 113 cm 2 This dose could easily be implanted in a narrow layer close to the surface, justifying the implicit assumption in the Gaussian profile that the initial distribution approximates a delta function. If a gas/solid phase predeposition step at 95 C were used, then { B solid solubility at 95 C is 2.5 1 2 cm 3 B diffusivity is 4.2 1 15 cm 2 sec 1 The dose for an erfc profile is Q = 2C s π Dt So that the time required for the predeposition is t pre dep = 4.3 113 2.5 1 2 2 π 2 2 1 = 5.5sec 15 4.2 1 Check: Dt predep ( 2.3 1 14 ) << Dt drive in ( 1.5 1 13 ) 13

As + Modifications Of Fick's Laws A. Electric Field Effects Concentration No Electric Field ε-field When the doping is higher than n i, field effects become important. field induced by higher mobility of electrons e - and holes compared with dopant ions. field enhances the diffusion of dopants causing the field (see derivation in text). C J = hd A x (16) Depth where h = 1 + C C 2 2 + 4n i (17).25 microns.25 microns With Electric Field SUPREM simulation at 1 C. Note the boron profile (h 2 for the As but field effects dominate the B diffusion). Field effects can dominate the doping distribution near the source/drain of a MOS device. 14

B. Concentration Dependent Diffusivity Concentration (cm -3 ) 1 21 12 119 118 1 17 D = constant D α (n/n i ) D α (n/n i )2.5.1.15.2.25.3.35.4 Depth (µm) n i At high doping concentrations, the diffusivity appears to increase. Fick's equation must then be solved numerically since D constant. 15 C t = Si B In As Sb P D. cm 2 sec -1 56.5.6.11.214 3.85 D.E ev 4.76 3.5 3.5 3.44 3.65 3.66 D +. cm 2 sec -1.95.6 D +.E ev 3.5 3.5 D -. cm 2 sec -1 31. 15. 4.44 D -.E ev 4.15 4.8 4. D =. cm 2 sec -1 44.2 x D A eff C x (5) Isoconcentration experiments indicate the dependence of D on concentration; e.g. B 1 in a B 11 background. Often, D is well described by 2 D eff A = D + D n + D = n (18) The n and n 2 (p and p 2 for P type dopants) terms are due to charged defect diffusion mechanisms. D =.E ev 4.37 D = D.exp D.E (19) kt n i n i

1 21 Polysilicon 1 21 Concentration (cm-3 ) 12 1 19 118 1 17 1 16 As Epi 115 -.5 -.25.25.5.75 1 Distance (µm) B P Substrate Concentration (cm-3 ) 12 1 19 118 1 17 1 16 115 -.5 -.25.25.5.75 1 Distance (µm) SUPREM simulation including field and concentration dependent D effects. Note: field effects around junctions (As determines the field). Steep As profile - concentration dependent effects. Boron in the base region does not show concentration dependent effects. Boron inside As is slowed down by concentration dependent effects. Phosphorus diffusing up inside As profile is affected by concentration effects. 16

C. Segregation Dopants segregate at interfaces. Recall from Chapter 3 in the text, This gives an interface flux of k O = C S C L (2) F = h C A C B (21) k O 2.5x118 Microns.5 1. -2-1.5 Microns -.5-1 SiO 2 Si 3 N 4 Boron.5 Oxidation of a uniformly doped boron substrate depletes the boron into the growing SiO 2 (SUPREM simulation). Concentration (cm -3 ) 2x118 1.5x1 18 1x118 5x117 17 Oxide Arsenic Boron Phosphorus.1.2.3.4.5.6.7.8 Distance (µm) N-type dopants tend to pile-up while boron depletes (SUPREM simulation).

D. Interfacial Dopant Pile-up Dopants may also segregate to an interface layer, perhaps only a monolayer thick. Interfacial dopant dose loss or pile-up may consume up to 5% of the dose in a shallow layer. Oxide Normal segregation Dose lost in interfacial layer 121 12 1 19 Arsenic 118 Dose = 1 x 115 cm-2 3sec, 15 C RTA As implanted 117 2 4 6 8 1 12 Depth (nm) Kasnavi et. al. In the experiment (right) 4% of the dose was lost in a 3 sec anneal. Concentration ( cm -3 ) Dose = 6.8 x 114 cm-2 18

Atomic Scale Diffusion Fick's first law macroscopically describes dopant diffusion at low concentrations. "Fixes" to this law to account for experimental observations (concentration dependent diffusion and field effects), are useful, but at this point the complexity of the "fixes" begins to outweigh their usefulness. Many effects (OED, TED etc) that are very important experimentally, cannot be explained by the macroscopic models discussed so far. We turn to an atomistic view of diffusion for a deeper understanding. Vacancy Assisted Mechanism: A + V AV Kick-out and Interstitial(cy) Assisted Mechanisms (Identical from a mathematical viewpoint.) A + I AI 19

A. Modeling I And V Components Of Diffusion O 2 Inert Diffusion Surface Recombination Bulk Recombination Buried Dopant Marker Layer G I R OED Oxidation provides an I injection source. Nitridation provides a V injection source. Stacking faults serve as "detectors" as do dopant which diffuse. Experiments like these have "proven" that both point defects are important in silicon. Therefore, D A = D A f I C I C I + f V C V C V (22) Stacking Faults Grow Thus dopant diffusion can be enhanced or retarded by changes in the point defect concentrations. Silicon.6.4 Boron 1. Phosphorus 1. Arsenic.4.6 Antimony.2.98 f I 2 f V

Measurements of enhanced or retarded diffusion under oxidizing or nitriding conditions allow an estimate of the I or V component of diffusion to be made. Oxidation injects interstitials, raises C I / C I and reduces C V /C V through I-V recombination in the bulk silicon. Nitridation does exactly the opposite. Concentration ( cm -3 ) 12 119 C I /C I O 118 2 C V /C V 117 Inert 116.2.4.6.8 1 Depth (µm) 1 1 1.1.1 Point defect supersaturation ratio TSUPREM IV simulations of oxidation enhanced diffusion of boron (OED) and oxidation retarded diffusion of antimony (ORD) during the growth of a thermal oxide on the surface of silicon. The two shallow profiles are antimony, the two deeper profiles are boron. Note that the C I / C I and C V /C V profiles are relatively flat indicating the stiff source characteristic of the oxidation process. 21

B. Modeling Atomic Scale Reactions Consider the simple chemical reaction This contains a surprising amount of physics. For example OED is explained because oxidation injects I driving the equation to the right, creating more AI pairs and enhancing the dopant D. A +I AI (23) Concentration (cm -3 ) 1 21 1 2 1 19 1 18 1 17 Phosphorus F I C I /C I = 1 F AI C I /C I 1 4 1 1 1 1 Interstitial Supersaturation Ratio Base Emitter Collector Emitter push 1 16.1.2.4.6.8 1 Depth (µm) Phosphorus diffuses with I, and releases them in the bulk. This enhances the tail region D. 22 "Emitter push" is also explained by this mechanism.

If we assume chemical equilibrium between dopants and defects in Eqn. (23), then from the law of mass action, C AI = kc A C I (24) Applying Fick s law to the mobile species Applying the chain rule from calculus, F AI = d AI C AI x C F AI = d AI kc A I x + kc A C I x (25) (26) Thus, gradients in defects as well as gradients in dopant concentrations can drive diffusion fluxes. The overall flux equation solved by simulators like SUPREM (see text) is F tot BI = D BI 1 + β p n i 1 + β C I o C I o C B x ln C C I B o C I o p n i (27) (written for boron diffusing with neutral and positive interstitials as an example). 23

F tot BI = D BI 1 + β p n i 1 + β C I o C I o C B x ln C C I B o C I o p n i (27) Thus there are several distinct effects that drive the dopant diffusion: inert, low concentration diffusion, driven by the dopant gradient the interstitial supersaturation high concentration effects on the dopant diffusivity the electric field effect x ln p n i C I o /C I o 1 + β p / 1 + β n i ( ) ( D BI ) Compare the above expression with Fick s Law, which is where we started. F = D C x (4) 24

Concentration (cm-3) Concentration (cm -3 ) 121 12 119 118 1 17 116 1 21 1 2 119 1 18 No coupling Phosphorus profile Arsenic profile C I /C I Full coupling.1.1.2.3.4.5 Distance (µm) C I /C I 1 1 1 1 1 17.1.1.2.3 Depth (µm) 1 1.1 1 1 Interstitial Supersaturation Ratio Interstitial Supersaturation Ratio 25 TSUPREM IV simulations of boron diffused from a polysilicon source for 8 hours at 85 C, with experimental data (diamonds) from [7.29]. The simulations use identical coefficients, but with and without full coupling between dopants and defects. The C I / C I curve (right axis) is for the fully coupled case. Without full coupling, C I /C I = 1. No coupling produces a boxier profile because of concentration dependent diffusion. TSUPREM IV simulations of the interstitial supersaturations generated by a phosphorus versus an arsenic diffusion to the same depth. The fast diffusing phosphorus profile has a larger effect on C I /C I than the slow diffusing arsenic profile.

1 18.18 micron.2 Microns -.2 Concentration (cm-3).25 micron 1. micron -.4 -.2.2.4 Microns 2D SUPREM simulation of small MOS transistor. Ion implantation in the S/D regions generates excess I. These diffuse into the channel region pushing boron (channel dopant) up towards the surface. Effect is more pronounced in smaller devices. 26 1 17.5.1.15.2.25 Depth (microns) Result is that V TH depends on channel length (the "reverse short channel effect" only recently understood). (See text for more details on these examples.)

Sidewall Spacer Future Projections - Shallow Junctions Poly Gate Accumulation Layer Inversion Layer 6 5 4 1999 ITRS Roadmap 23 ITRS - NMOS 23 ITRS - PMOS 1 ρ S = qµc S x J 1999 2 21 R acc R chan R R contact ext 3 2 23 22 25 24 28 1 214 211 218 218 1 2 3 4 5 6 7 8 Sheet Resistance (Ω/sq) Assuming N D or N A 2 x 1 2 cm -3 and µ 52 cm 2 volt -1 sec -1 an ideal box-shaped profile limits the x J r S product to values outside the red area. The ITRS goals for S/D extension regions are not physically achievable towards the end of the roadmap without metastable doping concentrations > 2 x 1 2 cm -3. 27

Future Projections - Shallow Junctions Flash annealing - ramp to intermediate T ( 8 C) then msec flash to high T ( 13 C). Recent flash annealing results with boron are much better than RTA. = flash data points We ll see why this works in the next set of notes on ion implantation and damage annealing. Overall, the shallow junction problem seems manageable through process innovation. msec Flash Spike Anneal RTA Furnace 28

Summary of Key Ideas Selective doping is a key process in fabricating semiconductor devices. Doping atoms generally must sit on substitutional sites to be electrically active. Both doping concentration and profile shape are critical in device electrical characteristics. Ion implantation is the dominant process used to introduce dopant atoms. This creates damage and thermal annealing is required to repair this damage. During this anneal dopants diffuse much faster than normal (Chapter 8 - TED). Atomistic diffusion processes occur by pairing between dopant atoms and point defects. In general diffusivities are proportional to the local point defect concentration. Point defect concentrations depend exponentially on temperature, and on Fermi level, ion implant damage, and surface processes like oxidation. As a result dopant diffusivities depend on time and spatial position during a high temperature step. Powerful simulation tools exist today which model these processes and which can predict complex doping profiles. 29