Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Similar documents
Chapter 7. Plasma Basics

Chapter 7 Plasma Basic

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

DOE WEB SEMINAR,

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

ETCHING Chapter 10. Mask. Photoresist

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Introduction to Plasma Etching

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Etching: Basic Terminology

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Plasma etching. Bibliography

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Gas utilization in remote plasma cleaning and stripping applications

Device Fabrication: Etch

CHAPTER 6: Etching. Chapter 6 1

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

FRAUNHOFER IISB STRUCTURE SIMULATION

LECTURE 5 SUMMARY OF KEY IDEAS

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Wet and Dry Etching. Theory

Section 3: Etching. Jaeger Chapter 2 Reader

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Reactive Ion Etching (RIE)

Feature-level Compensation & Control

CVD: General considerations.

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Secondaryionmassspectrometry

A global (volume averaged) model of a chlorine discharge

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Regents of the University of California

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Plasma parameter evolution in a periodically pulsed ICP

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

ELECTRON-cyclotron-resonance (ECR) plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Plasma Deposition (Overview) Lecture 1

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Absolute number density and kinetic analysis of CF, CF 2 and C 2 F 4 molecules in pulsed CF 4 /H 2 rf plasmas

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

Investigations on two different plasma tools by SEERS, OES and electrical measurements

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma

Plasma Technology September 15, 2005 A UC Discovery Project

MICROCHIP MANUFACTURING by S. Wolf

Chemical Vapor Deposition *

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Characterization of electric charge carrier transport in organic semiconductors by time-of-flight technique

Physique des plasmas radiofréquence Pascal Chabert

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Figure 1.1: Ionization and Recombination

Patterning Challenges and Opportunities: Etch and Film

EE-612: Lecture 22: CMOS Process Steps

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Transcription:

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

Introduction Content Experimental Set-up Inductively-coupled dielectric etcher Plasma diagnostics Langmuir probe measurements Ion Mass Spectrometry (IMS) Optical Emission Spectrometry (OES) Effects of Different Parameters Source Power Pressure Surface temperatures Carrier Gas flow and type Location, Wafer type Plasma sources Summary

Introduction (1) An independent plasma source in conjunction with capacitively coupled rf bias has allowed Independent control of density and ion energy In-situ dry clean of process chamber These have been the major focus until recently for plasma source development Control of chemical species continues to be a major challenge This is the key to high selectivity of dielectrics to mask and underlayer with high etch rate and wide process window This requires control of both gas phase and surface reactions

Introduction (2) A selective dielectric etch involves careful balance of polymer deposition, chemical etching and physical sputtering. The etch relies on proper combination of fluorocarbon radicals and ions (CxFy). Progress has been made in characterization of CxFy species using LIF, laser absorption and etc. Etch rate and selectivity need to be correlated to the fluxes of these radicals and ions to the wafer. This study is a survey of CxFy ions by Hiden IMS and CxFy radicals by OES in an ICP dielectric etcher in conjunction with plasma measurements by Langmuir probe.

Dissociation Paths in C4F8 Plasmas H. Kazum et al., Plasma Sources Sci. Technol. 5 (1996), 200-209.

CxFy Reaction Paths on Si Surface Si + CxFy Si Surface Reaction C2 + SiF + other by-products Adsorption of Reactants CxFy CxFy Desorption of Reactants Desorption of By-Product C2 + SiF Reaction Path: 1. Adsorption of reactants 2. Desorption or boiling off of reactants 3. Surface reaction to form C2, SiF and other by products 4. Desorption of by-products Reaction Rate is determined by Rate-Limiting (slowest Step).

Inductively Coupled Dielectric Etcher Temperature Control of all Plasma Surfaces Plasma Uniformity Control with Dual-Antenna Source Si Roof acting as RF Window & Electrode Movable Ion Mass Spec. or Langmuir probe S/N N/S Si Hot Ring Gas Feed Plasma Region SiC Collar N/S Temp Controlled S/N OES Window Electrostatic Ceramic Chuck Bias DC Hot Si Ring

Plasma Measurements Diagnostics Langmuir probe : Hiden Ion Mass Spec. (IMS): Optical Emission Spec. (OES): Te and ne Relative spectra of ion species Relative spectra of excited neutrals Experimental Parameters Total source power = 0-1500W Pressure = 7-80mT C4F8 flow = 15-30 sccm Carrier gas = He, Ar, Xe Si roof temperature = 100-200C Baseline conditions are shown by the blue numbers above.

Effect of Source Power: OES At low source power (<1000 W at 30mT), the spectra are dominated by Ar emission lines with very low C2 or SiF. This is an characteristics of E-mode plasma (capacitive coupling from the bias power). Change pf E- to H-mode (ICP) happens between 1000 to 1500W. The threshold power for mode change is strongly dependent on pressure, gas chemistry and chamber geometry. Intensity (arb.) 2000 1000 RIE 500Wout 1000Wout 1500Wout C3 SiF C2 C2 C2 7000 6000 5000 4000 3000 2000 RIE 500Wout 1000Wout 1500Wout F Ar lines 1000 0 200 300 400 500 600 Wavelength (nm) 0 600 700 800 Wavelength (nm)

Effect of Pressure: Langmuir Probe Plasma density (Ne) decreases with increasing pressure. Electron temperature (Te) decreases with increasing pressure. 5 Te vs. Pressure 15C4F8/100Ar/1400Wout 2.E+11 Electron Density vs. Pressure 15C4F8/100Ar/1400Wout ElectElectron Temperature (ev) 4 3 2 1 Te (ev) Electron Density (cm-3) 2.E+11 1.E+11 5.E+10 Ne (cm-3) 0 0.E+00 0 10 20 30 40 50 60 Pressure (mt) 0 10 20 30 40 50 60 Pressure (mt) (Data were collected using Smart Probe by Scientific Systems.) / Alex Paterson

CxFy Ion Count 1.E+07 1.E+06 1.E+05 1.E+04 C+ and F+ decrease while CF+ increases with pressure. CF2+ and CF3+ slightly increase but much less than CF+. Higher pressure can reduce dissociation due to lower Ne and Te (Langmuir probe data) but not effective enough in the highly dissociation plasma. Effect of Pressure: Ion Mass Spec. C F CF CF2 CF3 C2F4 0 20 40 60 Pressure (mt) CF2 13% CF3C2F4 4% 1% 5mT CF 82% CF2CF3C2F4 3% 3% 0% 50mT CF 94%

Effect of Radial Distance: Ion Mass Spec. O+/O2+ ratio decreases with radial distance, possibly due to charge exchange between O+ and O2 and wall recombination of O and O+. O+/O2+ ratio decreases with pressure indicating reduced O2 dissociation. O+ / O2+ 2 1.5 1 0.5 O+/O2+(3.5cm from wall) O+/O2+ (at wall) 0 0 10 20 30 40 Pressure (mt)

Effect of Si Surface Temperature: OES Below 200C, C2*/Ar* increases with Si temperature meaning the rate-limiting-step is desorption of polymer from Si surface. Above 200C, the trend reverses due to the limitation of CxFy absorption. Si surface acts like a good polymer sink or reflector but not a F scavenger. Normalized Intensity 9.00 8.00 7.00 6.00 5.00 4.00 3.00 2.00 Si Roof Si Ring 1.00 Si Ring@300 o C Si Roof@120 o C 0.00 100 150 200 250 300 350 400 450 500 Silicon Temperature (C) SiF/Ar*10 C2/Ar*10 F/Ar*10

Effect of C4F8 Flow: Ion Mass Spec. C4F8 flow of 15 and 30sccm results in similar ion mass spectra meaning not an effective knob for ion species control in an already highly dissociated plasma. CF2 12% Baseline 15C4F8/100Ar/in probe 15C4F8/100Ar C4F8/Ar CF3 C2F4 5% 1% Higher Flow 30C4F8/100Ar/in probe 304F8/100Ar C4F8/Xe CF2 8% CF3 C2F4 4% 1% CF 82% CF 87%

Effect of Carrier Gas: He, Ar and Xe The different ionization threshold and mass of He, Ar and Xe affect fundamentally plasma generation and loss resulting in different plasma density and electron energy distribution function (EEDF). Langmuir probe measurement shows that the EEDF is non-maxwellian in C4F8/Xe mixture with depleting high energy tails. The average electron energy is lowest in Xe and highest in He while the electron density has opposite trend. The low ionization energy threshold of Xe (~11eV) allows the high ionization rates with less hot electrons and results in a high density but low electron energy plasma.

EEDF in C4F8/Ar and C4F8/Xe Plasmas C4F8/Xe C4F8/Ar

Effect of Carrier Gas: Electron Density and Average Energy 8 C4F8 Mixed With Noble Gases 6 4 2 He Ne Ar Xe 0 0 20 40 60 80 100 120 140 ne (10^11cm3) Av Elect Energy (ev) Plasma Potential / 10 (Volts) Mass of Noble Gas

Effect of Carrier Gas: CxFy Ion Mass Spec. Percentage of F is 50 times less in C4F8/Xe than in C4F8/He. Percentage of heavy CxFy ions is far more in Xe than in Ar & He. These are due to low dissociation in C4F8/Xe which has the lowest average electron energy. Nomalized by Total Ion Count 1 0.1 0.01 C4F8/He C4F8/Ar C4F8/Xe 0.001 C F CF CF2 CF3 C2F4

Effect of Carrier Gas: OES Normalized By F* C2*/F* ratio is 8 times higher in C4F8/Xe than in C4F8/Ar. This demonstrates, again, less dissociation in C4F8/Xe. 100 150Xe 10 150Ar 1 0.1 Si(288.16) SiF(440.05) CO(313.44) C2(516.52) C2(563.55) H(656.29) F(703.75) O(777.54)

Effect of Carrier Gas: Process C4F8/Ar or C4F8/Xe process was applied to the pre-patterned via holes. Sharp facet of via tops with C4F8/Xe implies that physical sputtering is dominant over chemical etching of the holes. Rounded facet with C4F8/Ar suggests that isotropic etching maybe by excessive F atoms shown clearly by high C2*/F* ratio in OES spectra. 15C4F8/150Xe 15C4F8/150Ar

Summary Chemical species were experimentally investigated in an C4F8- based ICP as a function of many parameters. Clear E- to H-mode transition from low to high ICP power was observed accompanied by drastic change in chemical species spectra. In typical ICP operation, CxFy molecules are highly dissociated and the plasma is characterized by C2* rich OES and CF+ dominated IMS spectra. High pressure can slightly reduce dissociation but not effective enough to change the nature of high dissociation. Hot Si surface inside chamber plays more a role of polymer sink or reflector but a less role of F atom scavenger. The most effective knob among all is the type of carrier gas. Using Xe as a carrier gas can dramatically reduce the dissociation of fluorine-carbon molecules in an ICP oxide etcher. Dissociation control using different plasma generation mechanisms are currently in progress.

Future Perspective Gas phase and surface reaction mechanisms of CxFy plasmas need to be thoroughly studied. Semiconductor industry faces serious challenges due to feature shrinking and low-k/cu Dual-Damascene in interconnect circuitry. This plasma community can really help the industry with new innovations in terms of chemical species control Different plasma generation mechanisms Introduction of new chemistry Introduction of new surfaces Many more

Ion Mass Spectra vs. Wafer Type CF+ ions are the dominant reactive ion species. Minor loading effect of CxFy+ observed from Si to patterned SiO2 wafer. More release of by-product CO+ seen with patterned SiO2 wafer. Normalized by Total Ion Count 0.2 0.15 0.1 C4F8/Ar Si Wafer C4F8/Ar Patterned Oxide Wafer 0.05 0 C F CF CF2 CF3 C2F4 CO SiF Reactive Ions Byproduct ions

Challenges due to Device Evolution Feature Shrink beyond 0.1μm VLSI device features shrinks rapidly, leading to thinner mask thickness higher aspect ratio (HAR) of dielectric patterns. This requires highly selective etching to dielectric materials to mask. Evolution of Materials: SiO2 & Al low-k & Cu The VLSI industry has clearly taken the direction of Low-k & Cu Dual Damascene (DD) for lower response (RC) time in interconnect circuitry. This has introduced more challenges for dielectric etch due to more complex structures and constantly evolving low-k materials.

Intensity (arb.) 40000 35000 30000 25000 20000 15000 10000 5000 Optical Emission in ICP and CCP In ICP, CxFy plasmas are characterized by C2 rich OES which is a symbol of high dissociation. Typically, C2*/Ar* ratio is many times higher in ICP than CCP plasmas C2*/Ar* ratio is used as a empirical method to guide process development for selective etch. OES from an ICP Chamber C2 (516) OES Emission from an MERIE Chamber 200000 Ar (750) 180000 Ar (750) F (703) Intensity (arb.) 160000 140000 120000 100000 80000 60000 40000 20000 C2 (516) 0 0 240 281 322 363 403 444 485 526 567 607 648 689 730 770 811 852 240 283 325 366 408 450 492 533 574 616 657 698 739 780 821 862 F (703) Wavelength (nm) Wavelength (nm)

Probe Data Interpretation The total probe current was used. No correction was made for ion current. The Electron Energy Distribution Function (EEDF) was calculated from the Druyvestyn formula. f 0 ( ε) = 8m. e q e 3 1 2.. d Area d V 2 I The electron density and the average electron energy were calculated from integrating the EEDF. The effective electron temperature for a non - Maxwellian EEDF is T eff = 2. 3 E av