Technology Excellence for Specialty Markets (and Etching Basics) Corporate Introduction

Similar documents
ETCHING Chapter 10. Mask. Photoresist

Reactive Ion Etching (RIE)

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Plasma etching. Bibliography

Section 3: Etching. Jaeger Chapter 2 Reader

ORBITAL DIAGRAM - A graphical representation of the quantum number "map" of electrons around an atom.

Device Fabrication: Etch

Etching: Basic Terminology

ORBITAL DIAGRAM - A graphical representation of the quantum number "map" of electrons around an atom.

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

CHEMICAL COMPOUNDS MOLECULAR COMPOUNDS

CHEMICAL COMPOUNDS MOLECULAR COMPOUNDS

How many grams of sodium metal is required to completely react with 2545 grams of chlorine gas?

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

-"l" also contributes ENERGY. Higher values for "l" mean the electron has higher energy.

CHAPTER 6: Etching. Chapter 6 1

-"l" also contributes ENERGY. Higher values for "l" mean the electron has higher energy.

Experiment Three. Lab two: Parts 2B and 3. Halogens used in Parts 2 and 3. Lab one: Parts 1 and 2A. Halogens (Family VIIA) used in Parts 2 and 3

CHEMICAL COMPOUNDS MOLECULAR COMPOUNDS

Lewis dot structures for molecules

... but using electron configurations to describe how aluminum bromide forms is a bit cumbersome! Can we simplify the picture a bit?

VIIIA He IIA IIIA IVA VA VIA VIIA. Li Be B C N O F Ne. Na Mg VIB VIIB VIIIB IB IIB S. K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br

Example: Helium has an atomic number of 2. Every helium atom has two protons in its nucleus.

Placeholder zeros, even though they aren't SIGNIFICANT, still need to be included, so we know how big the number is!

8. Relax and do well.

How many grams of sodium metal is required to completely react with 2545 grams of chlorine gas?

CHEM 10113, Quiz 5 October 26, 2011

Fall 2011 CHEM Test 4, Form A

Putting it together... - In the early 20th century, there was a debate on the structure of the atom. Thin gold foil

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Atomic weight: This is a decimal number, but for radioactive elements it is replaced with a number in parenthesis.

- Light has properties of WAVES such as DIFFRACTION (it bends around small obstructions).

CVD: General considerations.

WRITING AN IONIC FORMULA

Halogens HALOGENS. Parts 2A and 2B. Chem : Feb. 19, 20 and March 3. Compare the properties and reactivity of the halogens and halides

CHEMICAL COMPOUNDS. - Dalton's theory does not mention this, but there is more than one way for atoms to come together to make chemical compounds!

Periodic Table. Modern periodic table

- Atomic line spectra are UNIQUE to each element. They're like atomic "fingerprints".

(FIRST) IONIZATION ENERGY

- Some properties of elements can be related to their positions on the periodic table.

The Periodic Table of the Elements

Atomic weight: This is a decimal number, but for radioactive elements it is replaced with a number in parenthesis.

Periodic Table. - Mendeleev was able to predict the properties of previously unknown elements using his "periodic law" Modern periodic table

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Periodic Table. Modern periodic table

Atomic terms. Example: Helium has an atomic number of 2. Every helium atom has two protons in its nucleus.

VIIIA H PREDICTING CHARGE

NUCLEAR MODEL. Electron cloud. Electron cloud. Nucleus. Nucleus

- A CHEMICAL BOND is a strong attractive force between the atoms in a compound. attractive forces between oppositely charged ions

Example: If a simple ionic compound is made of these two ions, what is its formula? In the final formula, don't write the charges on the ions!

CHEMICAL COMPOUNDS MOLECULAR COMPOUNDS

Solutions and Ions. Pure Substances

- Some properties of elements can be related to their positions on the periodic table.

- Some properties of elements can be related to their positions on the periodic table.

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Atomic structure. The subatomic particles. - a small, but relatively massive particle that carres an overall unit POSITIVE CHARGE

WRITING AN IONIC FORMULA

- Dalton's theory sets LIMITS on what can be done with chemistry. For example:

(please print) (1) (18) H IIA IIIA IVA VA VIA VIIA He (2) (13) (14) (15) (16) (17)

- Why are phase labels required? Because phase changes either absorb or release energy. ... what does this mean?

- A CHEMICAL BOND is a strong attractive force between the atoms in a compound. attractive forces between oppositely charged ions

1.02 Elements, Symbols and Periodic Table

INSTRUCTIONS: Exam III. November 10, 1999 Lab Section

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

IONIC COMPOUNDS. - USUALLY form from metals combining with nonmetals, or from metals combining with metalloids

Plasma Deposition (Overview) Lecture 1

WRITING AN IONIC FORMULA

Course theme. Three hours of lab Complete E1 (Parts 1, 2, 3, 4, and 5B) Prepare discussion presentation Prepare team report.

Three hours of lab Complete E1 (Parts 1, 2, 3, 4, and 5B) Prepare discussion presentation Prepare team report. Course theme

E5 Lewis Acids and Bases: lab 2. Session two lab Parts 2B, 3, and 4. Session one lab Parts 1and 2A. Aquo Complex Ions. Aquo Complex Ion Reactions

VIIIA H PREDICTING CHARGE

Chapter 7 Plasma Basic

8. Relax and do well.

EXAMPLES. He VIA VIIA Li Be B C N O F Ne

4.06 Periodic Table and Periodic Trends

E5 Lewis Acids and Bases: lab 2. Session two lab Parts 2B, 3, and 4. Session one lab Parts 1and 2A. Aquo Complex Ions

8. Relax and do well.

Acids. Lewis Acids and Bases. Lewis Acids. Lewis acids: H + Cu 2+ Al 3+ E5 Lewis Acids and Bases (Session 1) March 19-24

Wet and Dry Etching. Theory

E5 Lewis Acids and Bases. Acids. Acids. Session one. Session two Lab: Parts 2B, 3 and 4

- Some properties of elements can be related to their positions on the periodic table.

8. Relax and do well.

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Circle the letters only. NO ANSWERS in the Columns! (3 points each)

Atomic Emission Spectra. and. Flame Tests. Burlingame High School Chemistry

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Regents of the University of California

INSTRUCTIONS: CHEM Exam I. September 13, 1994 Lab Section

Bronsted: Acids are proton donors. Session one Pre-lab (p.151) due 1st hour discussion of E4 Lab (Parts 1and 2A)

4.01 Elements, Symbols and Periodic Table

8. Relax and do well.

Chapter 12 The Atom & Periodic Table- part 2

7. Relax and do well.

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

LECTURE 5 SUMMARY OF KEY IDEAS

Three hour lab. Chem : Feb Experiment 2 Session 2. Experiment 2 Session 2 Electrons and Solution Color

HANDOUT SET GENERAL CHEMISTRY II

8. Relax and do well.

CHEM 130 Exp. 8: Molecular Models

Transcription:

Technology Excellence for Specialty Markets (and Etching Basics) Corporate Introduction

Semiconductor Equipment Manufacturing USA manufacturing Focus on high growth specialty markets Lab-to-Fab solutions Multiple production facilities ISO-9000/9001 Plasma-Therm, Florida, USA Advanced Vacuum Lomma, Sweden Rev-Tech, Florida, USA

Plasma-Therm long history of excellence 1974 1975 1983 1990 1994 2000 2009 2010 2011 2013 2014 Acquired by Incorporated Product Line extension Origin: RF power supplies Relocated to St. Petersburg, FL Industry first single wafer production plasma etch reactor First ever Photomask plasma etch system introduction Privately owned and operated company with headquarters in USA Industry first Plasma dicing System in production Critical machining capabilities 3

Etch and Deposition Solutions Leveraged Across Markets and Materials Adv. Photomask & Imprint Wireless Solid State Lighting Photonics MEMS NEMS Power Solar Data Storage Failure Analysis R&D Etch Solutions: ICP, RIE, PE, PHF-RIE, DRIE Deposition Solutions: PECVD, ICP CVD Plasma Dicing Solutions

Configuration Flexibility From Lab to Fab Open Loadlock Cluster Fab Integration Single wafer Cassette-to-cassette

Product Innovation VERSALINE LAPECVD Singulator Etch and Deposition Mask Etcher

Advanced Vacuum product lineup Open load and single wafer loadlock Vision 300 Vision 400 Apex SLR

Supporting Over 640 Customers Worldwide EMEA France, Germany, Ireland, United Kingdom, Sweden, Israel, South Africa 1 Spares Warehouse Americas (St. Petersburg, FL) Sales and Service distributed throughout the US. 1 Spares warehouse location Asia-Pacific Japan, China, Taiwan, Australia, Indonesia, Korea, Singapore, India, Malaysia 4 Spares warehouses 13

Customer Recognized Service and Support Global service organization operating 24/7 Customer recognition of leadership VLSIresearch 10 BEST/THE BEST Awards for 17 years 2015 RANKED 1 st in Etch & Clean Equipment Suppliers 9

Etching Basics: Plasma Reactors Mechanisms Mask Etch Process Etching: creating faithful reproduction of a pattern 2015

Plasma Etching: Fundamentals, Mechanisms, Applications Wet vs. Dry What is plasma? Hardware/reactors overview Basic Low-Pressure Processes Wet Physical Chemical etching Ion driven etching, Ion enhanced etching Ion enhanced + Inhibitor (sidewall passivation) Trends and Tradeoffs Power Temperature Pressure Etching Ions Dry Neutrals/ Radicals 11

Why all the Focus on Dry Etching? What s Wrong with Wet Etching? Disadvantages Limited CD geometry and control Benefits Purely chemical No physical damage Can be highly selective Wide choice of chemicals Can etch many materials Low capital cost vs. Isotropic and/or crystallographic Requires rinse and dry steps Hazardous or toxic chemicals Resist/wafer interface failure (undercutting) Potential contamination sources 3

Plasma Basics: Why Pursue Dry Etching? Many materials can be wet etched And plasma requires a lot of technology to make it work involves many environmental health and safety aspects is expensive But plasma can do things wet can t Less reactants required Higher purity reactants Lower contamination risk Compatible with other dry processes Anisotropic Etching (Isotropic possible) Pattern smaller geometries Improved CD control Directionality: Pattern fidelity 13

Plasma Basics: What is a Plasma Tornado in a Trashcan? Chemical soup, 4 th state of matter Neutrals Ions Electrons Overall charge quasi-neutral Most molecules/atoms not ionized Non-equilibrium, constant creation/loss of species Plasma electrons - small mass move fast ~9 x 10-28 g Plasma ions - big mass move slow Plasma neutrals - big mass move slow Dynamic! 14

Plasma Basics: How to make a plasma? Add enough energy to a gas to cause ionization Electrical Thermal Other e.g. electro-magnetic energy (radiation) Low Pressure Neon sign Very low pressure Inter-stellar space High Pressure Lightning Electric welding arc 15

Plasma Basics: What is an Ion? N e e - Ne + + e - Atom Electron Impact Ion + Ejected Electron 10 protons 10 electrons (2 + 8) Charge balanced Simplified view 10 protons 9 electrons (2 + 7) Extra positive charge

Plasma Basics: Formation of Radicals by Electron Impact (e.g. Cl 2 ) Cl 2 e - Cl + Cl Electron Impact + Cl 2 Molecule Charge balanced Happy to share an electron Full outer shell Cl Radicals Neutral but unhappy Hungry to have just one more electron to complete the outer shell Simplified view

Plasma Basics: What makes up plasma? Focus on RF Generated Plasma excitation frequency excitation power gas flow rate nature of gas n e = electrons concentration n o = neutral concentration n + i = positive ion concentration f(e) = distribution of energy = residence time pumping speed chamber design 7

Plasma Basics: Simple Plasma Processes Ion production X + e - X + + e - + e - Dissociation AB + e - A + B +e - (formation of neutrals and radicals) Ion loss mechanisms X + + e - X (unlikely) X + e - X - (electron attachment, e.g. SF 6 ) X + + wall (e - ) X (a major ion loss mechanism) Many more process than those shown Light emission X + e - X* + e - (excitation) X* X + h (photon emission) Emission at specific energy (wavelength) Used for endpoint 19

Basic Vacuum Processing System We ll talk about plasma a bit later Pressure Gauge Feedback Throttle Valve (controls pressure) Loadlock Plasma Process Module Turbo Mechanical Pump Pumping Speed Exhaust MFC MFC Pressure (Torr, mbar) Volume (l, cm 3, ft 3 ) Gas Flow Input MFC = mass flow controller 20

Basic Vacuum Processing System We ll talk about plasma in just a minute Slot valve Pressure Gauges Feedback Loadlock Plasma Process Module Turbo Mechanical Pump Exhaust Pressure Gauge MFC MFC MFC = mass flow controller Gas Flow Throttle Valve (controls pressure) 21

Plasma Basics: Equipment Configuration Need Low pressure Gas Electrical energy Generator - Power source Matching Network (to be discussed later) Minimizes reflected power Increases power dissipation in the discharge Protects the generator Acts as blocking capacitor RF Generator Matching Network Plasma Blocking Capacitor 22

Plasma Reactors: Low Density Plasma Sources Gas Inlet Matching Network RF RIE is a confusing name uses plasma (reactive species, ions) RIE technology includes other configurations RIE is a specific configuration ( plate) RIE = CCP ICP = RIE ICP Gas Inlet Powered Electrode Pump Only one RF supply No independent control of ions and radicals RF Matching Network Pump PE = Plasma Etching CCP = RIE = Reactive Ion Etching 23

Summary Etching is a Balance (ICP is a Good Compromise) Chemical controlled with ICP power Physical controlled with substrate bias power Neutrals - Chemical Ions - Physical Inhibitors complicate the balance 24

Plasma Reactors: High Density Plasma Sources B TCP MERIE ECR Magnetically Enhanced Two RF supplies More control of ions and radicals ICP 25

Plasma Etching Processes Many simultaneous processes Modeling gas dynamics, electromagnetics, reaction kinetics, surface interactions, etc. Excellent references to the study of plasma etching Plasma Etching: Fundamentals and Applications, M. Sugawara Handbook of Plasma Processing Technology, edited by S. Rossnagel, J. Cuomo, and W. Westwood Plasma Etching: An Introduction, D. Manos and D. Flamm http://www.chm.bris.ac.uk/~paulmay/ misc/msc/msc1.htm D. Flamm, Pure & Appl. Chem., Vol. 62, No. 9, pp 1709-1720, 1990 Source: Oehrlein and Rembetski 26

Sputter Etching ( physical etching) High energy ions impact substrate surface and eject material - billiard ball effect Yield/Etch Rate typically decreases with increasing process pressure Etch Rate typically highest at low pressure (mtorr) Ion Sputtering 27

Sputter Etching Characterized by non-volatile byproducts Fluorine based chemistry (e.g. LiF, CaF: tungsten, titanium,, silicon) Chlorine based chemistry (CuCl2) No easily made byproducts (e.g. gold, platinum) High bond energies (e.g. GaN, Al 2 O 3 ), characterized by low selectivity 1/IA - Gaseous - Sputter etch 18/VIIIA H - Etchable at RT - Unknown He Li 2/IIA Be - May be able to etch 13/IIIA B 14/IVA C 15/VA N 16/VIA O 17/VIIA F Ne Na Mg VIIIB 3/IIIB 4/IVB 5/VB 6/VIB 7/VIIB 8 9 10 11/IB 12/IIB Al Si P S Cl Ar K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe Cs Ba * Lu Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn 28

Sputtering Process Ions create a cascade of collisions on the surface. Multiple collisions eject (or 'sputter') atoms from the surface. Sputter yield depends on : Target material (how tightly bound and density) Incoming ion mass (typically Ar, He too light, Xe too expensive, hard on turbos) Energy of the incoming ion Material Sputter Yield* Silver 3.4 Gold 2.8 Copper 2.3 Platinum 1.6 Nickel 1.5 Iron 1.3 Al 1.2 Si 0.5 Al 2 O 3 0.05 Note: Si has very low sputter yield, so does graphite *600 ev Ar ions Source: Angstrom Sciences www.angstromsciences.com/ref.sputtering-yields 29

Sputter Etching Purely physical Common Reactor Configuration RIE mode (common configuration) Sputtering mode with inert gas (usually Ar) Low etch rates but can remove non-volatile materials Profile may be directional (but rarely vertical) Inert Gas (e.g. Ar) Capacitive Plasma Ion energy, flux and radical conc. not independent Low selectivity- most materials sputter equally RF Matching Mask choice is important but not always a problem. Network May produce desired result Typically 13.56 MHz Dirty Process products not volatile and accumulate on chamber and feature surfaces Substrate 30

Angular Dependence of Sputter Yield Sputter Rate θ max Max. sputter yield angle Results in enhanced etch rate at angle of max. value (θ max) Etch profile will develop at this angle faceting of mask 0 Angle (degrees) 90 θ max 31

Sputter Etching and Development of Sloped Etch Profile As mask material is sputtered away it preferentially is lost at the corner (some part of the corner equals θ max ) Not perfectly 90 at corner Resist erosion CD loss Substrate θ Example: resist after etching Angle approaches angle of max. sputter rate 32

Sputter Etching: Mask Profile Can Affect Feature Profile Lateral erosion of resist mask can cause feature size shrinkage or roughness Photoresist Silicon Photoresist GaAs Oxide SiC Another description is that forward sputtering will always round a corner 33

Angled Profile Sometimes it s not a problem, it s a solution! Starting resist profile Beginning of mask faceting Mask slope transferring to feature Desired result (patterned sapphire for LED manufacturing 34

Sloped Masks Make Vertical Etching Difficult Selectivity = ER mask / ER film = tan(θ film )/tan(θ mask ) Use to predict slope. Selectivity Mask θ mask Best Profile 1 75 75.0 1 80 80.0 1 85 85.0 2 75 82.4 2 80 85.0 2 85 87.5 3 75 84.9 3 80 86.7 3 85 88.3 10 75 88.5 35

Sputter Etching: Can create grass or sidewall redisposition Micro-masking Often redeposited mask material Depends on mask material, bias, ion mass Tends to be less farther from masking material Not the only source of micromasking (e.g. excessive passivation, to be discussed later) 36

Sidewall redeposition Gold Etching Process Example After etch PR Re-sputter Gold Dog ears After mask removal 37

Sputter Etching: Can be a source of trenching Deflected ions Increased etch rate at mask edge Depends on mostly on bias and ion mass 200 W 250 W 300 W Bias Power 38

Chemical Etching Dominated by neutral reactive species (atoms, radicals, molecules) Radicals react with film surface Reaction is spontaneous ion assistance not required Purely isotropic (nondirectional) and low damage Reaction by-products are volatile Neutral Volatile Product Chemical Etching 39

Etch Product Volatility Guidance Source: C. Cardinaud et.al., Applied Surface Science 164, pp72-83 (2000) 40

Chemical Etching: Common Reactor Configurations RF + Match RF + Match Gas Inlet Gas Inlet Gas Inlet RF + Match Pump Pump RF + Match CCP = RIE = Reactive Ion Etching Lower electrode powered Run at low power to avoid unwanted sputtering PE = Plasma Etching Upper electrode powered Low density plasmas ICP = Inductively Coupled Plasma Etching Substrate bias and high density plasma High density plasmas 41

Chemical Etching Reactor Configuration PE configuration Little ion bombardment almost purely chemical etch Least flexible configuration RIE = CCP configuration High pressure operation to minimize ion reactions Low plasma/radical density (low etch rates) RF power/ion bombardment not independent ICP configuration High plasma/radical density (high etch rates) Can operate with no bias almost purely chemical etch Plasma density/ion bombardment are independent Low density plasmas High density plasmas 42

Chemical Etching: Examples Spontaneous etch reactions (examples) Si with SF 6 (F radical) SiN x with SF 6 (F radical) GaAs with Cl 2 (Cl radical) (Interestingly NOT etching Si with Cl 2 ) Al with Cl 2 (Cl radical) HC polymers (e.g. PR) with O 2 (O radical) Choice of chemistry can provide high selectivity SiN x /SiO 2 using SF 6 GaAs over InGaAs or AlGaAs using Cl 2 43

Chemical Etching: Examples Silicon trench, SF 6 GaAs, HCl (crystallographic) GaAs, Cl 2 Silicon pillar, SF 6 InP, HBr GaAs, Cl 2 44

Chemical Etching: Characteristics High etch rates possible High radical concentrations possible in plasma (particularly ICP) Higher density at higher pressure Rate limited by mass flow balance (what goes out, must come in) Load dependent etch rate Etch rate lower as etched area increases Consequence of mass flow balance Frequently classic bulls-eye uniformity profile Edge of substrate etches faster than center Same # radicals at substrate edge but less area 45

Chemical Etching: Edge Effect Edge has less area for available reactant flux Gas Phase Reactants film substrate Etch rate Edge Center Edge 46

Chemical Etching: Reduction of edge effect Gas Phase Reactants Physical barrier limits species access to edge film substrate Etch rate uncorrected corrected Edge Center Edge film substrate Other solutions: High pump speeds, dilution 47

Chemical Etching: Controlling Reaction Kinetics Modest changes in gas phase chemistry can have strong impact on etching In this case, adding ~15% O 2 increases etch rate ~4x Si + F SiF x Formation of F: CF 4 + e - CF 3 + F + e - (electron impact) CF 3 + e - CF 2 + F + e - Radical recombination: CF 3 + F CF 4 (loss of F) CF 2 + F CF 3 Addition of O 2 : (generation of F) CF 2 + O COF + F COF + O CO 2 + F Si Relative Etch Rate 1.0 0.8 0.6 0.4 0.2 0 0 Addition of O 2 to CF 4 Silicon etch rate 703.7 nm line intensity (F etching Si) 10 20 30 40 50 O 2 % 60 1.0 0.8 0.6 0.4 0.2 Source: C.J. Mogab, A.C. Adams, D.L. Flamm, J. Appl. Phys. 49, 3796 (1978) 0 Relative F Intensity 48

Chemistry Choice - Volatility of By-product(s) Chlorine based Fluorine based Log 10 P (mm) Refractory metals and silicides Fluorides Chlorides AlF 3 1291 AlCl 3 178 sub Cu 2 F 2 1100 Cu 3 Cl 3 1490 TiF 4 284 TiCl 4 136 WF 6 18 WCl 6 347 Source: T.P. Chow, A.J. Steckl, Electron Devices, IEEE Transactions, 11, 1983 Use vapor pressures when available or boiling points as a guide when they are not 49

Chemistry Choice - Volatility of By-product(s) Chemistry Primary Films Etched Cl based ( e.g. Cl 2, BCl 3, SiCl 4 ) Al (+ alloys), Ti, TiN, W, Cr, Hf, W, Mo F based (e.g. SF 6, CF 4, CHF 3 ) Ti, W, TiW, WN, Mo, Ta, silicides Other factors play a role: masking materials additive gases, film quality, desired results, etc. 50

Ion Enhanced Etching Physical and chemical components: individually slow but together increase the etch rate and anisotropy Combination of radicals and ions etch wafer Ions striking the surface enhance reactions and product desorption Ion Product Neutral Ion Enhanced 51

Ion Enhanced Etching: Common Reactor Configurations RF + Match Gas Inlet Gas Inlet RF + Match Pump CCP = RIE configuration Low pressure operation to maximize ion formation Low plasma/radical density (low etch rates) Ion density/ion energy not independent RF + Match ICP configuration High ion density Plasma density/ion bombardment are independent ICP power ion and radical densities Bias power Ion energy 52

Ion Enhanced Etching Experiment shows role of ions/radicals Illustrates the dramatic affect of combining radicals and ions Radicals Radicals + Ions Only Ions XeF 2 Ar+ Ar+ ion XeF gas ion + 2 beam gas only beam only Ion enhanced Models Reactive spot (energy addition) Tachi (1985) Damage & enhancement Coburn & Winters (1978) > 10x etch rate increase spontaneous sputtered Source: J.W. Coburn, H.F. Winters, J. Appl. Phys. 50, 3189 (1979) 53

Other early studies of ion effects Source: Pabst et al., Int. J. Mass Spect. Ion Phys. 20, 191 (1976) Source: J.W. Coburn, H.F. Winters, J. Appl. Phys. 50, 3189 (1979) Si etching with Ar+ CF 4 CF 4 is not adsorbed on Si surface Al with Ar+ + F 2 Low volatility of AlF 3, Al alone sputters faster Si etching with Ar+ Cl 2 SiCl 4 volatile Source: J.W. Coburn, H.F. Winters, J. Appl. Phys. 50, 3189 (1979) 54

Ion Enhanced Etching Ions contribute to anisotropy Example: XeF 2 etching of Si Anisotropy 1 Higher anisotropy with higher energy ions Penalty for anisiotropy by increasing ion flux or energy but typically lower selectivity, trenching, damage XeF 2 Flow rate Source: P. Martin, Vacuum Technology & Coating,, 28, (Nov. 2009) (Not the original reference) 55

Ion Enhanced Etching Examples SiC with SF 6 : ions assist formation of SiF x and CF x etch products Al 2 O 3 with BCl 3 : ions assist formation of AlCl x etch products SiO 2 with CF 4 : ions assist formation of Si and C etch products GaN with Cl 2 : ions assist desorption of GaCl x etch products 56

Ion Enhanced Etching Ion bombardment is necessary to break strong bonds Si O 8.2 ev Al O 9.3 ev By products must still be volatile (e.g. SiF 4, AlCl 3 ) Vertical ion bombardment vertical etch Mask erosion may result in sloped profile (similar to sputter etch) Choice of radicals can provide selectivity e.g. etching SiO 2 with CF 4 (CF 2 and CF 3 radicals) Selectivity less than Chemical etch and greater than Sputter etch Loading effects < chemical etch Rate rarely mass flow limited 57

Ion Enhanced Etching + Inhibitor (Sidewall passivation) Inhibitor Reactant Ion Product Inhibitor Inhibitor (often polymer forming) can passivate surfaces Minimal ion bombardment on sidewalls to remove inhibitor Surface protected from etching Passivation removed from horizontal surfaces by ion bombardment Surface open for etching (chemical or ion assisted) 58

Ion Enhanced Etching + Inhibitor Most highly anisotropic processes fall in this category Inhibitor introduced intentionally Si etch using SF 6 plus C 4 F 8 Al etch using BCl 3 /Cl 2 plus CH 4 InP etch using HBr or Cl 2 plus N 2 undercut Inhibitor introduced unintentionally Etching using photo resist mask Resist etch by-product is polymer precursor Etch result depends on resist loading Anisotropic etch with resist mask, isotropic with hard mask e.g. GaAs via etch with resist mask Source: after M. Mieth and A. Barker, Semiconductor International, 5, 222 (May, 1984) 59

Materials which act as inhibitors Photoresist etch by-product (particularly from Cl etch) Hydrocarbons e.g. CH 4 Chlorinated hydrocarbons e.g. CHCl 3 (most banned) Freons CHF 3 ~= C 4 F 8 > C 2 F 6 > CF 4 F:C ratio and oxidation capability of surface determines effectiveness as polymer precursor (e.g. O from SiO2 can provide oxidation source) N 2 for some processes, e.g. Al, InP O 2 can oxidize sidewall e.g. silicon (e.g. cryo black silicon process) 60

Ion Enhanced Etching + Inhibitor Example: Multilayer InP/InGaAs/InP Isotropic etch induced notch in feature profile HBr/CH 4 /BCl 3 Preferentially etches InGaAs layer HBr/N 2 Inhibition of InGaAs etching Requires understanding of how inhibitors participate (e.g. CH 4 sometimes an inhibitor, sometimes an etchant 61

Ion Enhanced Etching + Inhibitor Polymer provides sidewall protection Anisotropic GaAs etch (via etch) using Ar/Cl 2 Resist by-products provide sidewall passivation 62

GaAs Profile Control - Isotropic to Anisotropic Selectivity increases with Cl 2 partial pressure: etch rate and isotropy increases Resist implicated in controlling profile GaAs Etch Rate (um/min) Etch Selectivity (GaAs:PR) 40 um vias Cl 2 partial pressure (mtorr) 63

Tungsten Etch Example SF 6 / CF 4 / Ar F for reaction, CF 4 for passivation Vertical profile Sidewall roughness transferred from mask roughness PR Al W Before etch Al W Process temperature 20 C Selectivity to PR ~5:1 ~2000 A/min After etch 64

Tungsten Etching with Fluorine Chemistry Relative F Atom Density (arb. Units) CF 4 / O 2 Etch rate (nm/min) Relative F Atom Density (arb. Units) SF 6 / O 2 Etch rate (nm/min) CF 4 / O 2 vs. SF 6 / O 2 : Etch rate and Relative F Atom Density F is primary etchant (similar activation energies) for SF 6 and CF 4 O 2 increase rate for CF 4 /O 2 with more available F. O and F compete for W sites O 2 decrease rate for SF 6 /O 2, interaction with SF x and W? (adding O2 doesn t help) Source: Tang and Hess, J. Electrochem. Soc. 131, 115 (1984) Mark Salimian, Plasma Etching, Technologies and Processes, 1993 65

Mask material is often important PR SiO 2 W RIE with SF 6 PR SiN x W SiO 2 W SiN x W Data suggests O from SiO 2 attacks sulfur (S) protection layer on W More efficient removal of inhibitor than N from SiNx Source: Sato et al, 7 th Plasma Process Symp. Proceedings Electrochem. Soc. 1988, p240 Source: Mark Salimian, Plasma Etching, Technologies and Processes, 1990 66

Ion Enhanced Etching + Inhibitor Characteristics Often involved in achieving anisotropic profiles Balance of etchant and inhibitor Profile, uniformity sensitive to ratio Too little inhibitor, profile isotropic Too much inhibitor, etch rate decreases, polymer formation, micro-masking Excess inhibitor deposition causing grass Balance can change with Aspect ratio (mass transfer issues) Temperature sensitive Polymer deposition (affects profile) changes with temperature Potential for deposition on chamber surfaces Reduced at elevated temperatures 67

Al Etch General Considerations Typical Al process steps: Breakthrough (remove native oxide) Main etch Post etch treatment Material composition issues Al-Si: Si residues may require post F-plasma treatment (e.g. CF 4 ) to remove unetched Si (this may impact underlayer) Al-Cu: Cu difficult to etch, susceptible to grassing from precipitates Requires higher temperature and more ion bombardment Cu 3 Cl 3 a temperature of about 200 C Al deposition quality: no O 2 present Reduce Al 2 O 3 formation during deposition: no leaks, loadlock, high purity Ar Al 2 O 3 formation from poor vacuum conditions (e.g. leaks, outgassing) Mask profile (sloped, rough, or poor adhesion) Corrosion (post etch) 68

Al Etching Another example of sidewall passivation Photoresist erosion assists sidewall passivation Resist condition (e.g. slope, bake temperature) Surface AlCl 3 reacts with moisture exposure to make HCl which corrodes Al Cl residues in resist & sidewall deposits also react to form HCl Treatments In-situ PR strip (O 2 ash) (best) F replacement of Cl using CF 4 (O 2 ) (possibly SF 6 ) CHF 3 will deposit polymer protecting the wafer from moisture attack. Immediate rinsing of wafer and removal of resist after Al etch High temperature bake (usually not available due to PR) C O Photoresist Al Substrate Sidewall film Al Cl 69

Al Etching Breakthrough Removal of Native oxide Surface reacts to ambient atmosphere to make 20-50Å Al 2 O 3 Cl 2 alone won t work. Al 2 O 3 + Cl 2 AlCl 3 + O 2 (makes more Al 2 O 3 ) BCl 3 to needed to reduce Al 2 O 3 Al 2 O 3 + BCl 3 AlCl 3 + B 2 O 3 (BOCl) BCl 3 acts as an O 2 scavenger CCl x could be used but carcinogenic (Al 2 O 3 + CCl x (x 4) AlCl 3 + CO) Even with reducing chemistry and energetic ion bombardment, the etch rate of Al 2 O 3 is >300x less than Al Cl Cl Cl Cl Cl Cl Cl Cl Cl Surface oxide A 2 O 3 Al 2 O 3 Surface reoxidized Al Al + O 2 Cl 2 Al Al 70

Al Etching Main Etch Al (unlike Al 2 O 3 ) can be etched in Cl 2 (or with Br Cl 2 reacts spontaneously at RT (without plasma or ion bombardment) Cl Cl Cl Cl Cl Cl Cl Al 2 AlCl 3 or (AlCl 3 ) 2 Al Al AlCl 3 sufficiently volatile at 20 C to desorb Desire high pumping speed (low residence ) reduces AlCl 3 partial pressure Heated chamber walls (reduce re-deposition) Load lock (safety and low oxygen presence) Highly load dependent (chemical component) may require diffusion barriers to improve uniformity) Al not etched in F-containing gases. AlF 3 only volatile at elevated temperatures (i.e. chamber should be free of F) Al Al 71

Plasma Etch Feature Profile Defects Physical effects (ions) Mask faceting Ion scattering Off-angle ions Neutral beam solutions? Bowing Mask Facet Trenching Ion Reflection Undercut Under Passivation Chemical effects Over passivation Under passivation Taper Over passivation Notching Charging Re-entrance Ion Scatter Tilt-Skew Ion Trajectory Source: adapted from Donnelly, V. M. and Kornbilt, A., J. Vac. Sci. Technol. A 31, 050825 (2013). 72 72

Damage Effects Process and device dependent Multiple types of damage can result from processes Ion damage to lattice (e.g. ion penetration, vacancies, interstitials) Carrier passivation (carrier concentrations) Surface contamination (surface states) (e.g. fluorocarbon layers) Recombination centers Surface morphology Insulator breakdown/leakage Depth can be surprisingly deep Surface to many 100s Å Many sources 73

Damage examples Source: Seiji Samukawa et al 2012 J. Phys. D: Appl. Phys. 45 253001 Buried Quantum Well Photoluminescence for Monitoring Etch Damage Depth H ion penetrates ~5x deeper Source: Wong, Green, Liu, Lishan, Bellis, Hu, Petroff, Holtz, Merz, J. Vac. Sci. Technol. B 6 (6) 1906 (1988) UV/VUV penetrates deeper with O2 plasma but fewer defects near surface

Damage Example InP Etching: RIE vs. ICP CH 4 /H 2 chemistry RIE has lower etch rate RIE 2x P surface loss enhanced Source: Cardinaud, C, et al,., Appl. Surface Science, 164 (2000) 72-83 RIE P depleted layer ~2x ICP RIE 2-3x thicker amorphous layer 75

Summary - Etching Mechanisms Ion Neutral Ion Product Sputtering Ion Enhanced Neutral Volatile Product Inhibitor Neutral Ion Product Inhibitor Chemical Ion Enhanced + Inhibitor 76

Summary Basic Low Pressure Etching Mechanisms Sputter Etching Ions strike surface and eject or sputter material Nonvolatile reaction products Chemical Etching Neutral dominated (atoms, radicals, molecules) Isotropic, non-directional, can be reagent limited Ion Driven Etching Ions strike surface and enhance reactions Both physical and chemical components Allows fast anisotropic etching Ion Enhanced + Inhibitor Formation Polymer forming components passivate sidewalls where ion bombardment is minimal Prevention of lateral etching 77

Pressure Effects Strong effects Ion Energy Physical (sputtering) Low pressure Stronger ion influence More directional (CD control) Potential for more damage Ion flux Neutral flux Ion Assisted (chemical and physical High pressure Requires additional control mechanism (e.g. passivation) More neutral influence Neutral flux Ion flux Chemical (radicals) 1 10 100 Ratio of sheath thickness Pressure (mtorr) and mean free path of ion 1000 78

Pressure Effects Strong effects Affects ion energy, density, bias, electron temperature Reduction in pressure increases electron temperature and this results in increase in DC bias (more electrons leave the plasma) Chemical based processes etch rate usually increases with pressure (longer residence time for reactants) Ion enhanced processes Etch rate might actually decrease with increasing pressure (lower ion energies) Profile affected: mass transport (lag), sidewall passivation 79

Power Effects Increased ICP power increased concentration of radicals Increased electrode bias power increased DC bias Increases the effect of ions (more energetic and more of them) Mask erosion increases selectivity decreases Possible to actually have better selectivity if material of interest etch rate goes up faster than mask erosion Wafer cooling becomes more important as either ICP or bias power increases Wafer gets hot with all the energy being delivered (and exothermic reactions) Need to manage thermal budget! 80

Temperature Effects Wafer temperature affects etch rate, selectivity, surface roughness and anisotropy Chemical processes affected the most Temperature, isotropy (and often roughness) Temperature, Etch rate Temperature, Selectivity (usually but not always) Consider Si etching masked by SiO 2 (example) Rate F(SiO2) = 6.14 x 10-13 n F T 1/2 e -0.163/kT Å/min Rate F(Si) = 2.91 x 10-12 n F T 1/2 e -0.108/kT Å/min Selectivity = R F(Si) /R F(SiO2) = 4.7 e +0.055/kT ( higher T, lower selectivity) Changes in T can have large effect on selectivity (e.g. InP etching) Photoresist etch rate increases with temperature Source: Flamm et al., VLSI Electronics, Vol. 8, Chap (1984) 81

General Process Trends Process Parameter Etch Rate Response with Increase in Process Parameter Selectivity (to mask) Mechanism Trend Uniformity Bias Power Increases Decreases More physical Weak effect Electrode Size (power density) Decreases Increases Less physical Weak effect Source Power Increases Increases More chemical Weak effect Pressure Substrate Temperature Usually increases Weak effect More chemical Usually worse Increases Depends More chemical Weak effect Important Caveat: material dependent 82

Advanced Plasma Developments Interest in removing ions & controlling neutral energies Multiple frequencies Pulsed gases Pulsing ICP source Pulsing bias Neutral control grids, ion filters ALE Atomic Layer Etching Temporal Spatial RF Bias Source: adapted from Ankur Agarwal et. al., J. of Applied Physics, 106, 103305, (2009) 83

Temporal Etching Atomic Layer Etching (ALE) Flush Adsorb Volatize Flush 84

Time-Multiplexed Etching Atomic Layer Etching (ALE) Potential Benefits Monolayer control of etch Elimination of profile defects Elimination of ARDE Limitations Extremely low etch rates Narrow process window to achieve monolayer control Status Demonstrated in Si Cl-based chemistries 4 step cycle demonstrated 280 ALE cycles 150sec cycle times Source: Donnelly, V. M. and Kornbilt, A., J. Vac. Sci. Technol. A 31, 050825 (2013). 85

Spatially Multiplexed Etching DRIE Spatial DRIE Approach Spatial ALD Reactor Source: Roozeboom, F. et al., ECS Trans. 50 (32), 73-82 (2013). 86

Summary Usually there are Tradeoffs Uniformity Profile Etching Rate Damage Selectivity Surface Morphology 87

Thank you