ECE223. R eouven Elbaz Office room: DC3576

Similar documents
Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic.

expression simply by forming an OR of the ANDs of all input variables for which the output is

Problem Set 6 Solutions

Experiment 4 Decoder Encoder Design using VHDL

Assignment # 3 - CSI 2111(Solutions)

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important!

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations

ECE 327 Solution to Midterm 2016t1 (Winter)

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

Shannon decomposition

Overview of Today s Lecture:

Shannon decomposition

Boolean algebra.

The area under the graph of f and above the x-axis between a and b is denoted by. f(x) dx. π O

Let's start with an example:

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS

We will see what is meant by standard form very shortly

Bases for Vector Spaces

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic

Network Analysis and Synthesis. Chapter 5 Two port networks

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

1B40 Practical Skills

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

CSCI 340: Computational Models. Transition Graphs. Department of Computer Science

a,b a 1 a 2 a 3 a,b 1 a,b a,b 2 3 a,b a,b a 2 a,b CS Determinisitic Finite Automata 1

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

CSE 140 Lecture 11 Standard Combinational Modules. CK Cheng and Diba Mirza CSE Dept. UC San Diego

Unit 3 Session - 9 Data-Processing Circuits

Chapter 1: Boolean Logic

Preparation of Examination Questions and Exercises: Solutions

Lecture 6 Regular Grammars

CSCI 340: Computational Models. Kleene s Theorem. Department of Computer Science

Chapter 3 MATRIX. In this chapter: 3.1 MATRIX NOTATION AND TERMINOLOGY

Lecture 7 notes Nodal Analysis

Lecture 6. Notes. Notes. Notes. Representations Z A B and A B R. BTE Electronics Fundamentals August Bern University of Applied Sciences

Slides for Lecture 19

STRUCTURE OF CONCURRENCY Ryszard Janicki. Department of Computing and Software McMaster University Hamilton, ON, L8S 4K1 Canada

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Introduction to Electronic Circuits. DC Circuit Analysis: Transient Response of RC Circuits

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

1.2. Linear Variable Coefficient Equations. y + b "! = a y + b " Remark: The case b = 0 and a non-constant can be solved with the same idea as above.

Quantum Nonlocality Pt. 2: No-Signaling and Local Hidden Variables May 1, / 16

Student Activity 3: Single Factor ANOVA

Digital Control of Electric Drives

ELE B7 Power Systems Engineering. Power System Components Modeling

Introduction to Electrical & Electronic Engineering ENGG1203

Kinematic Waves. These are waves which result from the conservation equation. t + I = 0. (2)

The size of subsequence automaton

The graphs of Rational Functions

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Fast Boolean Algebra

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment

Fault Modeling. EE5375 ADD II Prof. MacDonald

DFA minimisation using the Myhill-Nerode theorem

Designing Information Devices and Systems I Discussion 8B

Coalgebra, Lecture 15: Equations for Deterministic Automata

Converting Regular Expressions to Discrete Finite Automata: A Tutorial

Homework 3 Solutions

1. For each of the following theorems, give a two or three sentence sketch of how the proof goes or why it is not true.

Riemann is the Mann! (But Lebesgue may besgue to differ.)

Coimisiún na Scrúduithe Stáit State Examinations Commission

Definite Integrals. The area under a curve can be approximated by adding up the areas of rectangles = 1 1 +

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

CS 330 Formal Methods and Models

Section 5.4 Fundamental Theorem of Calculus 2 Lectures. Dr. Abdulla Eid. College of Science. MATHS 101: Calculus 1

Expectation and Variance

Fig. 1. Open-Loop and Closed-Loop Systems with Plant Variations

Reinforcement Learning

SOLVING SYSTEMS OF EQUATIONS, ITERATIVE METHODS

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata

Chapter 4: Techniques of Circuit Analysis. Chapter 4: Techniques of Circuit Analysis

CM10196 Topic 4: Functions and Relations

Resistors. Consider a uniform cylinder of material with mediocre to poor to pathetic conductivity ( )

I. Theory of Automata II. Theory of Formal Languages III. Theory of Turing Machines

Linear Inequalities. Work Sheet 1

(4.1) D r v(t) ω(t, v(t))

Preview 11/1/2017. Greedy Algorithms. Coin Change. Coin Change. Coin Change. Coin Change. Greedy algorithms. Greedy Algorithms


Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences.

5.4 The Quarter-Wave Transformer

The final exam will take place on Friday May 11th from 8am 11am in Evans room 60.

CS 373, Spring Solutions to Mock midterm 1 (Based on first midterm in CS 273, Fall 2008.)

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

DIRECT CURRENT CIRCUITS

4.1. Probability Density Functions

Discrete Mathematics and Probability Theory Spring 2013 Anant Sahai Lecture 17

DEFINITION The inner product of two functions f 1 and f 2 on an interval [a, b] is the number. ( f 1, f 2 ) b DEFINITION 11.1.

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

211: Computer Architecture Summer 2016

Designing Information Devices and Systems I Spring 2018 Homework 8

Lecture 6: Coding theory

Finite Automata. Informatics 2A: Lecture 3. Mary Cryan. 21 September School of Informatics University of Edinburgh

Parse trees, ambiguity, and Chomsky normal form

z TRANSFORMS z Transform Basics z Transform Basics Transfer Functions Back to the Time Domain Transfer Function and Stability

#6A&B Magnetic Field Mapping

Regular Language. Nonregular Languages The Pumping Lemma. The pumping lemma. Regular Language. The pumping lemma. Infinitely long words 3/17/15

Signal Flow Graphs. Consider a complex 3-port microwave network, constructed of 5 simpler microwave devices:

CHAPTER1: Digital Logic Circuits Combination Circuits

Transcription:

ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576

Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

Decoders An n-to-m (m 2 n ) decoder converts inry informtion from n input lines (n-it coded informtion) to mximum of 2 n unique output lines. I 2 3-to-8 Decoder How? It t genertes e ll the minterms of the n input vriles (to hve exctly one of the outputs t 1 for ech comintion of inputs) O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7

Exmple: 3-to-8 Decoder c O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 0 0 0 1 0 0 0 0 0 0 0 O 0 0 0 1 0 1 0 0 0 0 0 0 O 1 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 1 O 0 = c c 3-to-8 Decoder O 2 O 3 O 4 O 5 O 6 O 7 c c O 1 = c O 2 = c O 3 = c O 4 = c O 5 = c O 6 = c O 7 = c

Decoder with Enle Input O 0 e O 0 O 1 O 2 O 3 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 1 0 2-to-4 Decoder O 1 O 2 0 1 1 0 0 0 1 1 X X 0 0 0 0 O 3 e O 0 = e O 1 = e O 2 = e O 3 = e e

How to construct 3-to-8 Decoder from 2-to-4 Decoders with Enle? O 0 e 2-to-4 Decoder 2-to-4 Decoder O 1 O 2 O 3 O 4 O 5 O 6 e O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 1 0 0 1 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 1 O 7

VHDL Exmple entity DECODER2-to-4 is port( I: in std_logic_vector(1 downto 0); O: out std_logic_vector(3 downto 0)); end DECODER2-to-4; rchitecture ehv of DECODER2-to-4 is egin cse I is when "00" => O <= "0001"; when "01" => O <= "0010"; when "10" => O <= "0100"; when "11"" => O <= "1000";" when others => O <= 0000"; end cse; end; 2-to-4 Decoder 2-to-4 Decoder O 0 O 1 O 2 O 3 I O ( ) ( O 1 O 2 O 3 O 4 ) 2 4

Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

Multiplexers A 2 n -to-1 multiplexer selects one of its 2 n input vlues nd outputs it dt selector. How? Using control signls tht encode the position of the trgeted input I 2 I 3 I 4 I 5 I 6 8-to-1 Output I 7 How mny control signls? For 2 n inputs, n control signls c

2-to-1 Multiplexer Output = + 2-to-1 Output 0 1 Output

4-to-1 Multiplexer Output = I + I + I + I 0 1 2 3 I 2 4-to-1 Output I 3 I 2 ' ' Output 0 I 3 0 1 1 0 I 2 1 1 I 3

Generl Cse: 2 n -to-1 multiplexer Output = n 2 1 k =0 m k I k I 2 2 n -to-1 Output I 2 n S 0 S 1 S n Minterm k of the n control signls Input designted y the minterm k

4-to-1 Multiplexer with Enle I 2 4-to-1 Output I 3 e e Output 1 0 0 1 0 1 1 1 0 I 2 1 1 1 I 3 0 X X 0

How to construct 8-to-1 Mux from 4-to-1 Muxes with Enle? O 1 e O 1 O 2 Y I 2 I 3 4-to-1 1 0 0 0 e I 4 Or Y 1 0 1 0 1 1 0 I 2 0 I 2 1 1 1 I 3 0 I 3 0 0 0 0 I 4 I 4 I 5 I I6 4-to-1 0 0 1 0 I 5 I 5 O 2 0 1 0 0 I 6 I 6 0 1 1 0 I 7 I 7 I 7

VHDL Exmple entity Mux4-to-1 is port( I0, I1, I2, I3: in std_logic; CTRL: in std_logic_vector(1 downto 0); Output: out std_logic ); end Mux4-to-1; rchitecture ehv of Mux4-to-1 is egin cse CTRL is when "00" => Output <= I0; when "01" => Output <= I1; when "10" => Output <= I2; when "11" => Output <= I3; when others => Output <= I1; end cse; end; I 2 4-to-1 I 3 2 CTRL Output

Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

From Decoder to Multiplexer O 0 O 1 O 2 O 3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 Output 0 0 0 1 1 0 I 2 1 1 I 3 O 0 O 1 2-to-4 Decoder O 2 O 3 I 2 I 3 4-to-1 Output Output = + + I 2 + I 3 O 0 = O 1 = O 2 = O 3 = I 2 I 3 Or Output

Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

3-stte Gtes Component exhiiting three sttes: Logic 1 nd logic 0 sttes s in conventionl gtes High impednce Z stte. Wht is high impednce? (1) The gte ehves like n open circuit Output disconnected (2) The circuit hs no logic significnce (3) The circuit connected to 3-stte gte in high impednce is not ffected y the inputs to the gte. 3-stte uffer: y = if s = 1 Z if s = 0 s

Multiplexers constructed from 3-stte uffers 2-to-1 Mux 4-to-1 Mux 2-to-1 s y s y 0 1 c d 4-to-1 y s 0 s 1 y 0 0 0 1 1 0 c 1 1 d 1 y s 0 s 1 y 2 s 0 s 1 2-to-4 Decoder c s d

VHDL Exmple entity 3-stte_uffer is port( : in std_logic_vector(7 downto 0); s: in std_logic; y: out std_logic_vector(7 downto 0)); end 3-stte_uffer; rchitecture ehv of 3-stte_uffer is egin if s = '1' then y <= ; else y <= "ZZZZZZZZ"; end if; end; s 8 8 y = if s = 1 Z if s = 0