Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Similar documents
IEUVI Mask Technical Working Group

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Measurement of EUV scattering from Mo/Si multilayer mirrors

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Low Coherence Vibration Insensitive Fizeau Interferometer

Evaluation at the intermediate focus for EUV Light Source

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Current development status of Shin-Etsu EUV pellicle

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

We published the text from the next page.

Temperature measurement

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Actinic review of EUV masks: First results from the AIMS EUV system integration

Numerical methods to compute optical errors due to stress birefringence

High Temperature Strain Measurements Using Fiber Optic Sensors

Spectrally controlled source for interferometric measurements of multiple surface cavities Jan Posthumus 1,2

High Optical Density Photomasks For Large Exposure Applications

Chromeless Phase Lithography (CPL)

Picometre metrology. The Gaia mission will create an ultra-precise three-dimensional map of about one billion stars

The study for image placement repeatability of EUV mask on the flat chuck

Silicon wafer thickness variation measurements using the National Institute of Standards and Technology infrared interferometer

VASE. J.A. Woollam Co., Inc. Ellipsometry Solutions

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Status of EUV Sources for Mask Metrology

Overview of EUV Lithography and EUV Optics Contamination

Information on the Particle Dynamics Analysis (PDA) measurements

Hybrid Wafer Level Bonding for 3D IC

Correction of Errors in Polarization Based Dynamic Phase Shifting Interferometers

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

EUV lithography industrialization for HVM

Interferometric determination of thermal expansion coefficient of piston/cylinder unit preliminary investigation

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Opto-Mechanical I/F for ANSYS

Optical Interface for MSC.Nastran

Enhanced Surface Metrology

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Discover the Difference

Application of the GD-Profiler 2 to the PV domain

Photolithography II ( Part 1 )

Some optical properties of hydroxide catalysis bonds

Homogeneity of optical glass

Thermal Coatings for In-vacuum Radiation Cooling LIGO-T C R. Abbott, S. Waldman, Caltech 12 March, 2007

Integrating MD Nastran with Optical Performance Analysis

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

φ φ0.2 Bare copper wire 2352 Walsh Ave., Santa Clara, CA 95051, U. S. A. Tel.: (408) , Fax: (408)

Machine Positioning Uncertainty with Laser Interferometer Feedback

Mask induced polarization effects at high NA

Development status of back-end process for UV-NIL template fabrication

3B: Review. Nina Hong. U Penn, February J.A. Woollam Co., Inc. 1

EUVL Readiness for High Volume Manufacturing

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Cost of Ownership Considerations for Maskless Lithography

Customized EUV optics made by optix fab

Nanoparticle Contamination Control and Metrology for the EUVL Systems

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

Intercomparison of Thermal Expansion Measurements. EUROMET Project 275. Final Report

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Mask Substrate Requirements and Development for Extreme Ultraviolet Lithography (EUVL)

Next-Generation Packaging Technology for Space FPGAs

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

EUV Lithography Towards Industrialization

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Experimental Investigation of a Long Rise- Time Pressure Signature through Turbulent Medium

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

A short pulsed laser cleaning system for EUVL tool

Temperature and Length. Pieter Greeff October 2013

ANALYSIS OF OPTICAL PROPERTIES BEHAVIOUR OF CLEARCERAM, FUSED SILICA AND CAF 2 GLASSES EXPOSED TO SIMULATED SPACE CONDITIONS

The University of Alabama 1 st APT Workshop for Earth Sciences

CHAPTER 9 PERFORMANCE OF THE INTERFEROMETER

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Optical Proximity Correction

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Development of a Mask-Scan EB Mask Writing System

Standard Test Methods for Glass-Bonded Mica Used as Electrical Insulation 1

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Quantum Optics and Quantum Information Laboratory Review

Micro- and Nano-Technology... for Optics

Figuring sequences on a super-smooth sample using ion beam technique

FTIR Spectrometer. Basic Theory of Infrared Spectrometer. FTIR Spectrometer. FTIR Accessories

Contents Preface iii 1 Origins and Manifestations of Speckle 2 Random Phasor Sums 3 First-Order Statistical Properties

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

LAB DEMONSTRATION OF INTERFEROMETRIC

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

iprom Optical Interferometry Prof. Dr. -Ing. Rainer Tutsch Institut für Produktionsmesstechnik IPROM Technische Universität Braunschweig

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Time Constant. φ φ0.2 Bare copper wire

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Introduction to Photolithography

Transcription:

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International EUVL Symposium Poster session #MaP01 Miyazaki, Japan, November 2004

- Agenda - 1) Introduction of CLEARCERAM -Z HS (General property) 2) Updates and further investigations on the capability of CLEARCERAM -Z HS for EUVL Mask Substrates 2-i) CTE characteristics of CLEARCERAM -Z HS 2-ii) CTE Metrology 2-iii) Surface Finish of CLEARCERAM -Z HS 2-iv) Research & Development 3) Summary & Conclusion

- Introduction - Extreme Ultra Violet Lithography (EUVL) : -> A strong candidate for next generation lithography for mass quantity with small variety chips in 45-32nm node. ->> EUVL to apply Extreme Ultraviolet light with 13nm wavelength and reflection optical systems. ->>> Exposure quality is sensitive to the thermal deformation of the substrate for photomask and optics components. ->>>> Low (Zero) thermal expansion material is required for EUVL component substrates.

- Introduction - Low Thermal Expansion Glass-ceramics Material CLEARCERAM -Z series CLEARCERAM -Z (CTE 0.0±1.0x10-7 /degree C, 0 - +50degree C) CLEARCERAM -Z HS (CTE 0.0 0.2x10-7 /degree C, 0 - +50degree C) A candidate for EUVL Photomask substrate material in the previous report* *K.Nakajima, Low-thermal expansion material for EUV applications, 23 rd Annual BACUS Symposium on Photomask Technology, Proceeding of SPIE, Vol. 5256, p.1271, 2003

1) Introduction of CLEARCERAM -Z HS (General property) Glass-ceramics Material -> TEM 0.1um Glass Matrix Beta-Quartz s.s. Crystals

2-i) CTE characteristics of CLEARCERAM -Z HS SEMI P37-1102 specification for EUVL Mask substrate -CTE specification < Class A > Mean CTE: 0 5ppb/degree C, Total spatial variation of CTE: 6ppb/degree C < Class B > Mean CTE: 0 10ppb/degree C, Total spatial variation of CTE: 10ppb/degree C < Class C > Mean CTE: 0 20ppb/degree C, Total spatial variation of CTE: 10ppb/degree C < Class D > Mean CTE: 0 30ppb/degree C, Total spatial variation of CTE: 10ppb/degree C * Temperature Range : +19 - +25degree C

2-i) CTE characteristics of CLEARCERAM -Z HS dl/l vs Temp. profile -> Parabolic centered by the Temperature Range (+19 - +25degree C) designated in the SEMI P37 spec.

2-i) CTE characteristics of CLEARCERAM -Z HS CTE vs Temp. profile -> Zero-CTE point is located in the Temperature Range (+19 - +25degree C) designated in the SEMI P37 spec.

2-i) CTE characteristics of CLEARCERAM -Z HS Blue Bar: Previous Data (- Sept. 2003, n=41) AVE. = 0ppb/degree C Sigma = 15ppb/degree C -> Class C (SEMI P37) Red Bar: Latest Data (Oct. 2003 - July 2004, n=46) AVE. = 2ppb/degree C Sigma = 10ppb/degree C -> Class B (SEMI P37)

2-i) CTE characteristics of CLEARCERAM -Z HS AVE. CTE: -4.9ppb/degree C Sigma: 1.3ppb/degree C Delta CTE: 4.8ppb/degree C -> Class A SEMI P37 spec. (< 6ppb/degree C) 90mm 90mm

2-ii) CTE Metrology Photo detector Amplifier Transmission Flat Dry Air Source PBS. Frequency Stabilized He-Ne Laser Sample Measurement Chamber Reference Flat Barometer Temperature Control > OHARA original CTE measurement system (Patent Pending) > Fizeau Interferometry CTE measurement > Measurement Repeatability (2Sigma): 4ppb/degree C > The repeatability is to be improved to 1ppb/degree C near future Interface P/C

2-ii) CTE Metrology - CTE data acquisition flow - The Intensity of the Interference data from the Fizeau interferometric measurement. Total Optical Path Difference (OPD) info from the Intensity data. The OPD (sample expansion) calculation by utilizing the known 2 OPD components. >> Total OPD = OPD (sample expansion) + OPD (the refractive index difference of the air in the chamber) Known value from measurement Known value from calculation using Edlen s equation dl info is derived from the OPD (sample expansion) info. dl / L 0 vs Temperature plot. -> (Linear) Coefficient of Thermal Expansion.

2-iii) Surface Finish of CLEARCERAM -Z HS SEMI P37-1102 specification for EUVL Mask substrate - Flatness & Roughness specification - Flatness (within the 142 x 142mm flatness quality area) < Class A > Peak to Valley: 100nm < Class B > Peak to Valley: 75nm < Class C > Peak to Valley: 50nm < Class D > Peak to Valley: 30nm *For Front & Back side Surface Roughness (within the quality area) < Front Side > Rms < 0.15nm < Back Side > Rms < 0.50nm

2-iii) Surface Finish of CLEARCERAM -Z HS Top Side Flatness Profile for the 142x142mm quality area PV 111nm Bottom Side Flatness Profile for the 142x142mm quality area PV 85nm

2-iii) Surface Finish of CLEARCERAM -Z HS Surface Roughness Uniformity & Profile for the 142x142mm quality area Rms 0.15nm Averaged Rms 0.163nm STD: 0.002nm (CV: 1%) 152mm 152mm

2-iii) Surface Finish of CLEARCERAM -Z HS Trial for simultaneous Realization of Smoothness & Flatness on the same substrate Rms 0.6nm Rms 0.2nm Optimized Smoothing Treatment PV 188nm PV 161nm

2-iv) Research & Development -> First results from the Improvements of CTE property and Surface Finish for a new CLEARCERAM -Z Flatter Delta dl/l, CTE vs Temp. profile Rms 0.13nm

3) Summary 1) The inter lot CTE uniformity of CLEARCERAM -Z HS product has been improved to meet the Class B in the SEMI P37 with statistical confidence, from the Class C level previously reported. 2) The CTE intra block uniformity was found to be within the Class A in the SEMI P37. 3) The most precise repeatability of the CTE measurement system at OHARA Inc. is 4ppb/degree C and to be improved to 1ppb/degree C near future. 4) The surface finish capabilities of CLEARCERAM -Z HS relative to SEMI P37 were presented by the surface figures from demonstrative tests. Further investigations are undergoing for simultaneous realization of both specs. 5) A New CLEARCERAM -Z material is being under developing at OHARA Inc. and the first results on the CTE profile and the surface roughness look promising.

3) Conclusion -> OHARA is implementing systematic preparations to introduce CLEARCERAM -Z series for EUVL Photomask Substrate material application. -> The data of CTE property and surface finish for CLEARCERAM -Z series indicated its capability & suitability for EUVL Photomask Substrate application. -> Further investigations will continue at OHARA for more fit for the application and benefit for users.