Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Similar documents
Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Chapter 2. Block copolymers. a b c

Ordered Morphologies of Confined Diblock Copolymers

Imaging Polymer Morphology Using Atomic Force Microscopy

Self-Assembly on the Sphere: A Route to Functional Colloids

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement)

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Applicable Simulation Methods for Directed Self-Assembly -Advantages and Disadvantages of These Methods

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification

SEMATECH Knowledge Series 2010

Fabrication of ordered array at a nanoscopic level: context

The SMART Process for Directed Block Co-Polymer Self-Assembly

Behavior of single nanoparticleõhomopolymer chain in ordered structures of diblock copolymers

Supplementary Materials for

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Instabilities in Thin Polymer Films: From Pattern Formation to Rupture

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

arxiv: v1 [cond-mat.mtrl-sci] 14 Nov 2018

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Three Approaches for Nanopatterning

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Direct write electron beam patterning of DNA complex thin films

Positioning, Structuring and Controlling with Nanoprecision

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

SUPPLEMENTARY INFORMATION

The Intermaterial Dividing Surface (IMDS)

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental

Positioning, Structuring and Controlling with Nanoprecision

Fluctuations in polymer blends

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Supplementary Information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Supracolloidal Polymer Chains of Diblock Copolymer Micelles

Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates

Introduction. Photoresist : Type: Structure:

φ(z) Application of SCF to Surfaces and Interfaces (abridged from notes by D.J. Irvine)

Supporting Information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

Computer simulation study of pattern transfer in AB diblock copolymer film adsorbed on a heterogeneous surface

Lateral Phase Separation in Grafted Diblock Copolymer Films

PHYSICAL REVIEW E 69,

Kinetics of layer hopping in a diblock copolymer lamellar phase

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at:

2 Current status of the project

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Guided self-assembly of diblock copolymer thin films on chemically patterned substrates

Modeling Microscopic Morphology and Mechanical Properties of Block Copolymer/Nanoparticle Composites

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization

Atomic Force Microscopy imaging and beyond

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

A theoretical study for nanoparticle partitioning in the lamellae of diblock copolymers

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

Block Copolymer Assembly on Nanoscale Patterns of Polymer Brushes Formed by Electrohydrodynamic Jet Printing

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.)

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Supratelechelics: thermoreversible bonding in difunctional polymer blends

Self-Assembled Morphologies of a Diblock Copolymer Melt Confined in a Cylindrical Nanopore

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Defects in lamellar diblock copolymers: Chevron- and -shaped tilt boundaries

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Supplementary materials for: Large scale arrays of single layer graphene resonators

Process window analysis for contact hole shrinking: A simulation study

Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent

100 nm period gratings produced by lithographically induced self-construction

SUPPLEMENTARY INFORMATION

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Kim, Sang Ouk

Photolithography 光刻 Part II: Photoresists

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Converting the nanodomains of a diblock-copolymer thin film from spheres to cylinders with an external electric field

Physical Chemistry of Polymers (4)

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Robust shadow-mask evaporation via lithographically controlled undercut

arrays for mid-infrared plasmonics

Parallel and Perpendicular Lamellae on Corrugated Surfaces

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Block Copolymers in Electric Fields: A Comparison of Single-Mode and Self-Consistent-Field Approximations

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

Transcription:

Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards, 1 Juan J. de Pablo, 1 Paul F. Nealey 1 1 Department of Chemical and Biological Engineering and Center for Nanotechnology, University of Wisconsin, Madison 53706, USA. 2 Department of Physics, University of Wisconsin, Madison 53706, USA. 3 Laboratory for Micro- and Nanotechnology, Paul Scherrer Institute, CH-5232 Villigen PSI, Switzerland. Materials and Methods Polymer Brush Preparation The nitroxide-mediated living free-radical polymerizations used to synthesize hydroxyterminated polystyrene (PS, 5.4 kg mol -1, PDI 1.29) have been previously detailed (S1,S2). An ~40 nm film of hydroxy-terminated polystyrene was spin coated onto a piranha treated Si substrate from a 1.5 wt. % solution. These substrates were then annealed under vacuum at ~160 C for 48 h in order to graft, via a dehydration reaction, the PS polymer to the Si substrate. Ungrafted polymer was then extracted using repeated sonications in warm toluene for greater than 10 minutes total. The resultant PS brush had a thickness of 4.7 ± 0.5 nm and advancing and receding water contact angles of 93 and 81, respectively (S1). A random styrene and methyl methacrylate copolymer (PS-r- PMMA, 4.2 kg mol -1, PDI 1.64) with 58 vol. % styrene was used as a neutral brush to achieve lamellar domains perpendicular to a homogeneous, unpatterned substrate (see Fig. 2A) (S1,S2). The neutral brush had a thickness of 4.3 ± 0.5 nm and advancing and receding water contact angles of 85 and 70, respectively. 1

Fabrication of Chemically Nanopatterned Surfaces A 50 nm thin film of poly(methyl methacrylate) photoresist (PMMA, 950 kg mol -1 ) was spin coated on the PS brush coated substrate and baked at 160 C for 60 s. Electron beam lithography was performed using a LEO 1550-VP field emission scanning electron microscope (SEM) operating with a J. C. Nabity pattern generation system. Exposures utilized an accelerating voltage of 20 kev, a beam current of ~6 pa, and line doses centered at ~0.8 nc/cm. The 50 and 55 nm period patterns of Fig. 2A were fabricated by extreme ultraviolet (EUV) interference lithography (λ = 13.4 nm, Center for NanoTechnology, University of Wisconsin) as detailed elsewhere (S3,S4). All samples were developed for 30 s in a 1 to 3 solution of methyl isobutyl ketone to isopropyl alcohol (IPA), followed by IPA rinsing and drying steps. The photoresist pattern was subsequently transferred to a chemical pattern in the PS brush by oxygen plasma etching at 10 mtorr O 2 and a power of 80 mw for 10 s. The exact chemistry and structure of the PS brush after exposure to the O 2 plasma is unknown. Near edge x-ray absorption fine structure (NEXAFS) spectra indicate that the remaining organic material consists of a high ratio of oxygen to carbon (S5), and the advancing contact angle of water on these regions is less than 10º (S1). Since the loss of carbon in the O 2 plasma modified regions is significant (> 70%) compared to the original PS brush, the adjacent stripes of the chemically modified PS brush also likely differ in thickness by as much as 3 nm. Recently Sivaniah et al. (S6) reported that topographic features of this amplitude do not induce changes in the orientation of block copolymer films, and in agreement with our previous studies (S1), the substrate effects on the domain structure of the overlying block copolymer film can be interpreted as purely chemical in nature. 2

Ternary Blend Preparation and Annealing Ternary blends were prepared from a symmetric diblock copolymer and the corresponding homopolymers. Individual 1.5 wt. % solutions of symmetric poly(styrene)-block-poly(methyl methacrylate) (PS-b-PMMA, 50 kg mol -1 PS and 54 kg mol -1 PMMA, PDI 1.04), PS (40.2 kg mol -1, PDI 1.03), and PMMA (41.5 kg mol -1, PDI 1.06) in toluene were mixed in a ratio of 60 wt. %, 20 wt. %, and 20 wt. %, respectively. Each polymer was acquired from Polymer Source, Inc. and used as received. The resulting ternary blend was spin coated on the nanopatterned polymer brush to a thickness of 43 ± 2 nm as measured by ellipsometry. Annealing was performed under vacuum at 193 ± 1 C for 7 days, which provided sufficient time for the ternary blend to approach an equilibrium morphology on the surface pattern. Optimization of the annealing conditions was not attempted for these ternary blends on chemically patterned surfaces. Prior to heating the vacuum chambers were evacuated 5 times to <2 Torr and backfilled with Ar to remove oxygen from the system. The domain structures of the resulting ternary block copolymer homopolymer blends were imaged using a LEO 1550-VP field emission SEM. Single Chain in Mean Field (SCMF) Simulations In self-consistent field (SCF) calculations the many body-interactions between different chain segments are replaced by an external field that mimics the effect of the surrounding segments. Single chain in mean field simulations are a particle-based SCF method (S7) that investigate a large ensemble of independent chains in an external field that depends, in turn, on the density distribution generated by the ensemble of chains. The relation between field and density is provided by SCF theory (S8,S9) or dynamic density 3

functional theory (S10). In the limit of infinite invariant polymerization index, N = (ρ poly R 3 ) 2 where ρ poly denotes polymer number density and R the diblock copolymer's endto-end vector, SCMF simulations reproduce the predictions of SCF theory (S7) which is extraordinarily successful in quantitatively describing the self-assembly of diblock copolymers in the bulk (S11) and under confinement (S10,S12). For finite N, SCMF simulations additionally include some fluctuations. The computational scheme allows for the simulation of large, three-dimensional structures without prior assumptions about their symmetry. Model Parameters and Technique We utilized a discretized Edwards-Hamiltonian with N = 32 segments per diblock copolymer (15 PS segments and 17 PMMA segments). To match the experiment we used homopolymers of length N = 12 and 13 for the PS and PMMA homopolymers, respectively. A short-range repulsion of strength χn = 37.6 acted between PS and PMMA segments in accord with previous experimental studies (S13,S14). The segment density was fixed to 4096/R 3 to reproduce the experimental value of the invariant polymerization index, N, i.e., each configuration contained several million segments. Fluctuations of the total segment density were controlled by a Helfand-compressibility term with reduced compressibility, κn=50 (S7). In the SCMF simulations, the lamellar period of the ternary blend in the bulk was L B 2.26R. Comparing this result with the spacing of the perpendicular lamellae of the experimental system on homogeneous neutral wetting surfaces, L B = 70 nm, we identify R 31 nm. The observation of perpendicular lamellae also indicates that the air/polymer interface does not exhibit a strong preference for either component of the ternary blend. 4

In both the experiments and the simulations we observe lamellar structures orienting perpendicular to the substrate and we do not expect (or experimentally observe) the formation of terraces or holes in the film. Therefore, in the simulations we model the free surface to the air as a hard wall and, thus, do not allow for changes in the film thickness. Moreover, we assume that the surface to the air is neutral and neglect a possible preferential interaction of one of the components with the air. This assumption can be made because the difference in the surface tensions of the two components at 190ºC is small (S6). A recent study by Potemkin (S15) suggests that perpendicular structures may even form in homogeneous, free surface films if the surface slightly prefers one component. In our system, the chemical pattern of the substrate greatly increases the stability of the perpendicular morphology. The substrate pattern symmetrically attracts/repels PS and PMMA segments with a short-range interaction that falls off with a length scale of dz = 0.15R. The film thickness is fixed to 1.43R in accord with the experiments. While one observes a strong dependence of the morphology on the film thickness in the disordered state (S16) and for parallel structures (S15,S17), we do not expect any qualitative changes upon decreasing the film thickness for perpendicular structures that are stabilized by the chemically patterned substrate. Fields and densities were calculated on a grid with a spatial resolution of R/6 or R/70 for the three- and two-dimensional calculations, respectively. Periodic boundary conditions were applied in the two lateral directions, x and y (only half of the simulated system is shown in Figs. 4B and C). The molecular conformations evolved through random, local displacements of segments, the translation of the center of mass of each polymer, and head-to-tail flips of diblock copolymers. All configurations were prepared 5

by a quench from a disordered system, χn = 0, to χn = 37.6. The SCMF simulations were implemented as scalable, parallel MPI code and were performed on the IBM p690- cluster at the John von Neumann-Institute for Computing, Jülich. Supporting Text Shape of the Intermaterial Dividing Surfaces on 90º Bends Figure S1 presents the contour plots of the PS concentration obtained from SCMF simulations for L S = L B = 70 nm on a nested array of lines with 90 bends (similar to Fig. 4B). At the top of the film (left panel) the equilibrium shape of the intermaterial dividing surface between the PS and PMMA domains resembles a symmetric omega shape similar to the metastable defects that form at tilt grain boundaries in bulk block copolymers (S18- S20). The shape of the intermaterial dividing surface at the substrate (right panel), however, is chevron-like in order to match the chemical surface pattern. Structures similar to omega and T-junction defects which have been observed in bulk block copolymers (S19,S20) are suppressed by the surface interactions in thin films (see Fig. 3). 6

Supporting Figures Fig. S1. Contour plots of the PS concentration on the free and substrate surfaces of a ternary blend film as obtained from SCMF simulations on surface patterns with L S = L B = 70 nm and 90º bends. The intermaterial dividing surface refers to the sharp interface between the PS rich regions (shown in red) and the PMMA rich regions (shown in blue). In the right panel the intermaterial dividing surface at the substrate (z/film thickness=0) has a chevron-like shape in the corners that exactly follows the chemically patterned surface. In the left panel the intermaterial dividing surface at the free surface (z/film thickness=1) has a symmetric weakly omega-like shape. Supporting References and Notes S1. E. W. Edwards, M. F. Montague, H. H. Solak, C. J. Hawker, P. F. Nealey, Adv. Mater. 16, 1315 (2004). S2. C. J. Hawker et al., Macromolecules 29, 2686 (1996). 7

S3. S. O. Kim et al., Nature 424, 411 (2003). S4. H. H. Solak et al., Microelectron. Eng. 67-8, 56 (2003). S5. K. M. Pellerin, F. J. Himpsel, E. W. Edwards, P. F. Nealey, personal communication. S6. E. Sivaniah et al., Macromolecules 38, 1837 (2005). S7. M. Müller, G. D. Smith, J. Polym. Sci. B: Polym. Phys. 43, 934 (2005). S8. G. H. Fredrickson, V. Ganesan, F. Drolet, Macromolecules 35, 16 (2002). S9. R. B. Thompson, V. V. Ginzburg, M. W. Matsen, A. C. Balazs, Science 292, 2469 (2001). S10. A. Knoll et al., Nature Mater. 3, 886 (2004). S11. M. W. Matsen, F. S. Bates, Macromolecules 29, 1091 (1996). S12. M. W. Matsen, J. Chem. Phys. 107, 8110 (1997). S13. T. P. Russell, R. P. Hjelm, P. A. Seeger, Macromolecules 23, 890 (1990). S14. M. Sferrazza et al., Phys. Rev. Lett. 78, 3693 (1997). S15. I. I. Potemkin, Macromolecules 37, 3505 (2004). S16. Y. Tsori, D. Andelman, Macromolecules 34, 2719 (2001). S17. D. G. Walton, G. J. Kellogg, A. M. Mayes, P. Lambooy, T. P. Russell, Macromolecules 27, 6225 (1994). S18. D. Duque, K. Katsov, M. Schick, J. Chem. Phys. 117, 10315 (2002). S19. S. P. Gido, E. L. Thomas, Macromolecules 27, 6137 (1994). S20. E. Burgaz, S. P. Gido, Macromolecules 33, 8739 (2000). 8