Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Similar documents
Measurement of EUV scattering from Mo/Si multilayer mirrors

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

We published the text from the next page.

Calculating Thin Film Stack Properties. Polarization Properties of Thin Films

Photolithography II ( Part 1 )

Calculating Thin Film Stack Properties

and the radiation from source 2 has the form. The vector r points from the origin to the point P. What will the net electric field be at point P?

Chapter 7. Solar Cell

N M O 0 1 Q P. (26.2)

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Enhanced performance of microbolometer. using coupled feed horn antenna

Lecture 14 Advanced Photolithography

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Lecture 2: Thin Films. Thin Films. Calculating Thin Film Stack Properties. Jones Matrices for Thin Film Stacks. Mueller Matrices for Thin Film Stacks

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Course 2: Basic Technologies

Optical Proximity Correction

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Chapter 10. Interference of Light

3.1 The Plane Mirror Resonator 3.2 The Spherical Mirror Resonator 3.3 Gaussian modes and resonance frequencies 3.4 The Unstable Resonator

Actinic review of EUV masks: First results from the AIMS EUV system integration

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Chromeless Phase Lithography (CPL)

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Optics.

Chapter 3. Design for manufacture of Fabry-Perot cavity sensors

Demonstration of Near-Infrared Negative-Index Materials

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Photonic Crystals. Introduction

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

PRINCIPLES OF PHYSICAL OPTICS

Overview of EUV Lithography and EUV Optics Contamination

Interactions of 3D mask effects and NA in EUV lithography

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

A Fabry-Perot Interferometer System for high-speed velocity measurement. Lun K. Cheng, A.J.A. Bruinsma, W.C. Prinse* and C.

Micro- and Nano-Technology... for Optics

10. OPTICAL COHERENCE TOMOGRAPHY

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

Group Velocity and Phase Velocity

Negative Index of Refraction in Optical Metamaterials

Astronomy 203 practice final examination

Raman Albedo and Deep-UV Resonance Raman Signatures of Explosives

Evaluation at the intermediate focus for EUV Light Source

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Introduction. Photoresist : Type: Structure:

34. Even more Interference Effects

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

MODERN OPTICS. P47 Optics: Unit 9

Fig. S1 The Structure of RuCE(Left) and RuCA (Right)

Characterising Properties and Loss in High Powered Metamaterials

Refractive Index Measurement by Gain- or Loss-Induced Resonance

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC

ECE 484 Semiconductor Lasers

Tunable metasurfaces via subwavelength phase shifters. with uniform amplitude

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

Analysis of carbon contamination on EUV mask using CSM/ ICS

Nanosphere Lithography

Phasor Calculations in LIGO

Resist-outgas testing and EUV optics contamination at NIST

Homework 1. Nano Optics, Fall Semester 2017 Photonics Laboratory, ETH Zürich

Fabry-Perot Interferometer for atmospheric monitoring useful for EAS detection E.Fokitis 1, K. Patrinos 1, Z. Nikitaki 1

Soft X - Ray Optics: Fundamentals and Applications

Chapter 35. Interference

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope

Cleaning of Silicon-Containing Carbon Contamination

Supplementary Figure 1 Comparison between normalized and unnormalized reflectivity of

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

1 The formation and analysis of optical waveguides

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Simulations of an Interference Birefringent Thin-Film Filter Used as a Narrow-Band Polarizer

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Interference. Gambar: Museum Victoria Australia

A short pulsed laser cleaning system for EUVL tool

The Quarterwave Stack: 3. A Building Block

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a).

EUV Reflectivity measurements on Acktar Sample Magic Black

Distributed feedback semiconductor lasers

Reflectivity and photoluminescence studies in Bragg reflectors with absorbing layers

1 N star coupler as a distributed fiber-optic strain sensor in a white-light interferometer

ENHANCEMENT OF PHOTONIC BAND GAP IN A DIS- ORDERED QUARTER-WAVE DIELECTRIC PHOTONIC CRYSTAL

TA/TI survey. Phy Phy

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

PT-symmetry and Waveguides/ (3) Waveguides & Bragg structures

Transcription:

MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon 4-751 Republic of KOREA hwangbo@inha.ac.kr

Attenuated Phase Shift Masks * Condition of Attenuated Phase Shift Mask (Att( Att-PSM): * Two types of Att-PSMs PSMs: Att-PSM in EUV: (R ) EUV <.1 ΔΦ EUV =18 o ARC and high contrast in DUV: DUV contrast > 85 % (R DUV <5%) Δt air > 8 nm Absorber Absorber [Mo/] 4 Δt air > 1 nm Additive-type type Subtractive-type type

Geometrical shadow effects A. M. Goethalsa et al., SPIE 6517, 65179 (7) EUVL projection optics is telecentric at the image side, but non-telecentric at the mask side because of oblique illumination. The thickness of absorber in additive-type PSM structure is generally over 8 nm. The illumination beam is then shadowed by the edge of the absorber, and as a result, the printed patterns are shifted and biased. The subtractive-type PSM structure should be formed by etching into the top multilayer. It may have a drawback for the geometric shadow effect due to the depth of the etched layers of about 1 nm.

Purpose Requirements of Att-PSM in EUV Att-PSM in EUV: (R ) EUV <.1 ΔΦ EUV =18 o ARC and high contrast in DUV: DUV Contrast > 9 % ( R DUV < 5% ) Small height difference for less shadow effect 1. The mask structure should not only perform 18 phase shift with low reflectance ratio (R <.1) at 13.5nm wavelength, but also have high inspection contrast (> 9%) at 57nm wavelength.. The total thickness of the absorber stacks should be retained thin enough to meet the stack height requirement to prevent the geometric shadow effect. 3. We design a hybrid-type EUV Att-PSM based on a Fabry Perot interferometer. The reflectance ratio between the absorber stack and multilayer mirror should be tuned by choosing different insertion position and thickness of spacer.

Design of Hybrid-type Att-PSM R 1, Φ 1 R, Φ Attenuator Additive type [Mo/] 4 pairs R, Φ R 1, Φ 1 Subtractive type Conditions for Att-PSM - ΔΦ=Φ 1 -Φ =18 - R <.1 It have a drawback for the geometric shadow effect due to high height difference. Ru /[Mo/] 4 Air layer Spacer () R 1, Φ 1 Spacer stack Attenuator R, Φ Absorber stack [Mo/] 4 Hybrid-type Att-PSM (HPSM) The spacer stack should have high reflectance (R 1 ) as well as should be able to control the reflection phase Φ 1 in EUV. The insertion position and thickness of the spacer in the Fabry-Perot filter enable to vary R 1 and Φ 1. Conditions for hybrid-type Att-PSM - ΔΦ=Φ 1 -Φ =18, R <.1 at EUV range - Minimum height difference (for minimizing shadow effect)

mulation condition * Optical constants 13.5 nm 57 nm material n k n k Mo.9388.643 1.71578 3.74669.999.183 1.673 3.6413 O.97364.193 1.541. Ru.88635.179 1.8359.8493.9188.678.35376.18949 The EUV exposure conditions in the simulation are 13.5 nm wavelength, s-polarization, and 6 degree incidence angle. (R 1 and R : Reflectance of the spacer stack and the absorber stack, Φ 1 and Φ : reflection phase of the spacer stack and the absorber stack) The thicknesses of Mo and in the multilayer are.8 nm and 4.15 nm, respectively. The thickness of Ru capping layer is nm. R 1, Φ 1 R, Φ R 1, Φ 1 R, Φ Ru ( nm) Air layer Ru ( nm) Ru Air layer /[Mo/] 4 [Mo/] 4 /[Mo/] 4 Spacer () [Mo/] 4 Spacer stack Absorber stack Additive-type type Att-PSM Spacer stack Absorber stack Hybrid-type Att-PSM (HPSM)

Indium tin oxide () layer at EUV wavelength Determination of optical constants of (Indium tin oxide) at 13.5 nm : In Sn. O 3.4 [Density : 7.14 g/cm 3 (film)] N(ω ) = 1 δ(ω) + i β(ω) r λ δ( ω ) = [ π r λ β( ω ) = [ π N ρ A m In N ρ A m In In In f 1 In f In r λ ( ω ) AF ( In ) + π r λ ( ω ) AF ( In) + π N ρ A m Sn N ρ A m Sn Sn Sn f 1 Sn f Sn r λ ( ω ) AF ( Sn ) + π r λ ( ω ) AF ( Sn ) + π N ρ A m O N ρ A m O O O f f 1 O O ( ω ) AF ( O )] / total ( ω ) AF ( O )] / total AF AF Ν (ω): Optical f (ω): Real scattering form factor f constant (ω): Imaginary scattering form factor r :Classical electron 1 radius [.8 1 3 ρ :Mass density of film [ g / cm ] 15 m ] Calculation of thickness N A m : ω : Frequency λ : 3 : Avogadro' s number [6. 1 atoms / mol ] Atomic mass [ AF : Atomic g] of light [ Hz ] Wavelength of light [ nm ] Fraction (for determination reflectance R and reflection phase Φ at 13.5 nm) Re [Y] 3 1-1 - -3-4 (19.68 nm) (73.88 nm) [Mo/] 4 pairs 1 3 4 5 6 Im [Y] 57 nm wavelength [Mo/] 4 pairs (19.68 nm) (73.88 nm) R δ=.781, β=.678 n=.9188, k=.678 = 1 1 + Y Y Y : admittance Y air : (1.,.) H. A. Macleod, Thin-Film Optical Filters, 3rd ed. (IoP, Bristol, UK, 1). Reflectance : R DUV (%) 7 6 5 4 3 1 57 nm w avelength R eflectance (% ) 1 3 4 5 6 7 8 9 1 Thickness of absorber (nm )

Design of Additive Att-PSM with [] absorber Reflectivity : R E E 1..8.6.4. Absorber : (71.8 nm) Reflectivity Phase shift 36 7 18 9 Phase shift : ΔΦ=Φ 1Ε -Φ Ε (degree) 1. At 13.5 nm wavelength ΔΦ = 179.93 (R ) EUV =.3. DUV Contrast = 96.38 % @ 57 nm 3. Height difference min : 71.8 nm. 1 3 4 5 6 7 8 9 1 Thickness of absorber (nm) Height difference (or thickness of air layer) 71.8 nm [Mo/] 4 Contrast (%) 1 9 8 7 6 5 4 3 1 (71.8 nm) Additive-type Att-PSM 1 3 4 5 6 7 8 9 3 Wavelength (nm)

Basic principle for design of hybrid type Att-PSM (HPSM) - for Extreme Ultraviolet Lithography R 1, Φ 1 R, Φ Fabry-Perot interferometer Φ R Ru Air layer Attenuator () Φ R R Front /[Mo/] 4 Spacer () [Mo/] 4 Phase- control for EUVL Spacer = π φ n d S λ S Spacer stack Absorber stack Hybrid type Att-PSM R Rear Pochie Yeh, Optical Waves in Layered Media, (John Wiley & Sons, 1988). The phase-control of the spacer stack can be explained by a simplified Fabry-Perot filter. (R Front : reflectance for the front mirrors, R Rear : reflectance for the rear mirrors, n S : refractive index of the spacer, d S : thickness of the spacer) The changes reflection phase Φ R of the filter varies as the optical phase thickness (φ) of the spacer increases for the different reflectance values of R Front and R Rear

Reflectance and reflectance phase Φ 1 of spacer stack - for Extreme Ultraviolet Lithography 1 36 Reflectance : R 1 (%) 9 8 7 6 5 4 3 1 R 1 7% Position of spacer Top Middle Bottom Reflection Phase : Φ 1 (degree) 315 7 5 18 135 9 45 Position of spacer Top Middle Bottom 1 3 4 5 6 7 8 9 1 11 1 13 14 15 16 17 18 19 1 3 4 5 6 7 8 9 111113141516171819 Thickness of spacer : (nm) Thickness of spacer : (nm) R 1 exhibits high reflectance (1) at the multiple of λ/ optical thickness of the spacer regardless of the insertion position, () when the spacer is coated as the first layer on the substrate before the Mo/ multilayer stack is coated or (3) as the last layer after the Mo/ multilayer stack. The sum of the reflection phase Φ R of the filter and the reflection phase Φ air of the air layer is the reflection phase Φ 1 of the spacer stack. (Φ 1 =Φ R +Φ air ) Phase shift is defined as the difference between the reflection phase Φ 1 and Φ. (ΔΦ=Φ 1 -Φ )

Bottom-type type HPSM Reflectivity : R 1..8.6.4. Absorber : (73.88 nm) Reflectivity Phase shift. 5 1 15 5 3 35 4 45 5 55 6 65 Thickness of spacer (nm) [Mo/] 4 36 7 18 Height difference (or thickness of air layer) 65.1 nm The thickness of spacer(.5nm) 4.11 nm 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(63.6nm) 1 9 8 7 6 5 4 3 1 ΔΦ = 179.98 (R ) EUV =.5. DUV Contrast = 97.13% @ 57 nm 3. Height difference min : 4.11 nm (73.88 nm) Hybrid-type Att-PSM (Bottom) 1 3 4 5 6 7 8 9 3 Wavelength (nm)

Middle-type HPSM Reflectivity : R 1..8.6.4. Absorber : (71.35 nm) Reflectivity Phase shift. 5 1 15 5 3 35 4 45 5 55 6 65 Thickness of spacer (nm) [Mo/] 4 36 7 18 Height difference (or thickness of air layer) 64.99 nm The thickness of spacer(.1nm) 3.91 nm 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(61.9nm) 1 9 8 7 6 5 4 3 1 ΔΦ = 179.79 (R ) EUV =.4. DUV Contrast = 93. % @ 57 nm 3. Height difference min : 3.91 nm (71.35 nm) Hybrid-type Att-PSM (Middle) 1 3 4 5 6 7 8 9 3 Wavelength (nm)

Top-type HPSM Reflectivity : R 1..8.6.4. Absorber : (71.17 nm) Reflectivity Phase shift R 1E > 7%. 5 1 15 5 3 35 4 45 5 55 6 65 Thickness of spacer (nm) 36 7 18 Height difference (or thickness of air layer) 64.91 nm 51.51 nm [Mo/] 4 The thickness of spacer(.1nm) 9 Phase shift : ΔΦ=Φ 1 -Φ (degree) 1. At 13.5 nm wavelength Contrast (%) The thickness of spacer(13.51nm) 1 9 8 7 6 5 4 3 1 ΔΦ = 179.45 (R ) EUV =.3. DUV Contrast = 9.41 % @ 57 nm 3. Height difference min : 51.51 nm (71.17 nm) Hybrid-type Att-PSM (Top) 1 3 4 5 6 7 8 9 3 Wavelength (nm)

Summary of various designs Types of Att-PSM Absorber ΔΦ=Φ 1 -Φ (at 13.5nm) R (at 13.5nm) DUV Contrast (@ 57nm) Height difference Degree of difficulty in fabrication Additive (71.8 nm) 179.93.3 96.38 % 71.8 nm easy Bottom spacer (73.88 nm) 179.98.5 97.13 % 4.11 nm difficult Hybrid Middle spacer (71.35 nm) 179.79.4 93. % 3.91 nm difficult Top spacer (71.17 nm) 179.45.3 9.41 % 51.51 nm easy Att-PSMs perform 18 phase shift with low reflectance ratio (R E E <.1) at EUV wavelength, as well as have high inspection contrast (> 9%) at deep ultraviolet (DUV) wavelength. The structures with absorber have lower height difference than the existing structure with TaN absorber, the thickness of which is greater than 8 nm. The bottom- and the middle-type HPSM have small height difference. Additive-type Att-PSM and top HPSM may have advantages in fabrication.

Conclusion We have designed two types attenuated phase shift mask with absorber. The hybrid-type design work has been processed in the way that 18 phase shift and attenuated reflectance ratio for EUV are matched by the principle of the Fabry-Perot interferometer. The results show that the mask structures not only perform 18 phase shift with low reflectance ratio (R <.1) at EUV wavelength, but also have high inspection contrast (> 9%) at deep ultraviolet (DUV) wavelength. Height difference and degree of difficulty in fabrication for various Att-PSMs Att-PSMs Type Hybrid-type height difference (Shadow effect) fabrication bottom-spacer Small difficult middle-spacer Small difficult top-spacer medium easy Additive-type large easy The top HPSM with absorber has advantages in shadow effect and fabrication. Further researches on various spacer materials are needed.