Lecture 14 Advanced Photolithography

Similar documents
MICRO AND NANOPROCESSING TECHNOLOGIES

Introduction. Photoresist : Type: Structure:

Photolithography II ( Part 1 )

Nanoimprint Lithography

MSN551 LITHOGRAPHY II

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Overview of the main nano-lithography techniques

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Lecture 8. Photoresists and Non-optical Lithography

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Optical Proximity Correction

Nano fabrication by e-beam lithographie

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Nanostructures Fabrication Methods

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Top down and bottom up fabrication

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Introduction to Photolithography

object objective lens eyepiece lens

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

Overview of EUV Lithography and EUV Optics Contamination

EUV lithography and Source Technology

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Three Approaches for Nanopatterning

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Lecture 20 Optical Characterization 2

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Nanotechnology Fabrication Methods.

Introduction to Electron Beam Lithography

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

X-Rays From Laser Plasmas

Nano Materials. Nanomaterials

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Photolithography 光刻 Part II: Photoresists

Pattern Transfer- photolithography

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

EUREKA: A new Industry EUV Research Center at LBNL

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Lobster-Eye Hard X-Ray Telescope Mirrors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Sensors and Metrology. Outline

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Lithography and Etching

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Nano-Lithography. Edited by Stefan Landis

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK

Auger Electron Spectroscopy (AES)

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Micro- and Nano-Technology... for Optics

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

MSE 321 Structural Characterization

EUV and Soft X-Ray Optics

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUV and Soft X-Ray Optics

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Scanning Electron Microscopy

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Current development status of Shin-Etsu EUV pellicle

Physics 30: Chapter 5 Exam Wave Nature of Light

Fabrication of ordered array at a nanoscopic level: context

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

There's Plenty of Room at the Bottom

Resist-outgas testing and EUV optics contamination at NIST

Optical and THz investigations of mid-ir materials exposed

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

COST MP0601 Short Wavelength Laboratory Sources

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Thin Wafer Handling Challenges and Emerging Solutions

Evaluation at the intermediate focus for EUV Light Source

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Nanosphere Lithography

Transcription:

Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74

Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course grade. You should have all been assigned your first-choice topic. The term paper should be handed in at the start of class on Tuesday 21 st November. Details / regulations are on the course website. The term paper will be returned to you in class on Thursday 30 th November. 2/74

Useful Links UT-Austin: http://willson.cm.utexas.edu/teaching/lithoclass2017/slides.php Review Article on Immersion Lithography: http://www.annualreviews.org/doi/abs/10.1146/annurev-matsci-082908-145350 Review Article on Extreme ultraviolet lithography: http://avs.scitation.org/doi/abs/10.1116/1.590453 Review Article on Electron-Beam Lithography: http://www.sciencedirect.com/science/article/pii/s0169433200003524 Review Article on Nanoimprint Lithography: http://onlinelibrary.wiley.com/doi/10.1002/adma.200600882/abstract 3/74

Lecture 14 Review of Lecture 13 Improving Resolution of Optical Lithography. Extreme UV-Lithography. Electron Beam Lithography. Nanoimprint Lithography. 4/74

Review of Optical Photolithography 5/74

Apply The Photolithography Process Apply photoresist. Expose photoresist through a patterned mask or reticle. Develop PR by immersing it in a solvent which preferentially dissolves the PR of higher solubility. Process the exposed part of the wafer. Strip away the remaining photoresist. Inspect pattern. PR Substrate Mask Strip Etch Develop Expose 6/74

Photoresist Deposition Industrially this is done with robotic arms and automated dispensers: Extremely uniform films can be deposited using spin coating (rms roughness ~ Å s) 7/74

Exposure Techniques Three approaches are typically taken to exposure: Contact Printing Mask Defects Bowing of mask 1:1 Printing Proximity Printing 2-4 μm resolution Mask space ( 25 mm) Mask lens 2-5 X reduction Printing System Magn. Resolution (μm) Use Contact 1 0.1 1 Research Proximity 1 2 4 Low Cost Projection 2-5 0.1-1 Mainstream VLSI Projection Printing 8/74

Fraunhofer Diffraction The resolution in Fraunhofer diffraction is defined by the Rayleigh criterion. Rayleigh Criterion: when the peak of one projection lands on the first zero of the other: 9/74

Modulation Transfer Function Intensity at Mask 1 Intensity on wafer 1 I Max I Min 0 Position 0 Position We define MTF: MTF = I max I min I max + I min MTF is defined between 0 (small features) and 1 (large features). Generally, MTF needs to be > 0.5 for the resist to resolve features. 10/74

Improving Resolution of Optical Lithography 11/74

Optical Lithography State of the Art as of 2009: Half-pitch (half of distance between elements) 12/74

Optical Lithography State of the Art as of 2009: http://www.annualreviews.org/doi/abs/10.1146/annurev-matsci-082908-145350 13/74

Optical Lithography The next generation of lithography will likely achieved via one of the following approaches: Extreme ultraviolet (EUV) lithography. Electron beam lithography (EPL). Nanoimprint Imprint lithography (NIL). λ ~ 124 10 nm. However, because of existing infrastructure, it is likely that 193nm-based optical technology will be extended as far as possible. There are a number of techniques that optical lithography can be improved. 14/74

Optical Proximity Correction Optical Proximity Correction (OPC): Clever mask engineering based on software algorithms can compensate some of this error. This requires sophisticated computer modeling. 15/74

OPC Examples 16/74

Phase Shift Masks Until now we talked just about intensity (I) on the light on the mask or wafer. But the wave has an a amplitude, E, which can be negative, positive (or complex). E x, t = A cos kx ωt θ The intensity is the square of the amplitude I = E 2 17/74

Phase Shift Masks Masks can be manufactured (albeit expensively) to shift the phase of the light: Mask Amplitude At Wafer 180 o phase shift Intensity 18/74

Multilayer Resist Processing Multilayer resists are a way to address depth of focus and modulation issues. E.g. bilayer: Layer to be processed (e.g. etched) Contrast enhancement layer (100-300 nm) Conventional photoresist Substrate The Contrast enhancement layer is photo-bleachable layer, and will change its optical transmittance on exposure to light. Poly(methyl methacrylate) (PMMA) is often employed here: 19/74

Multilayer Resist Processing Conventional photoresist Contrast enhancement layer Mask lens Exposed regions become transparent to UV light The top layer (e.g. PMMA) now acts as a contact mask. Without the problems due to metal contamination. 20/74

Multilayer Resist Processing Bilayer resists cannot measurably improve resolution. Image on PMMA remains limited by projection printing. Contrast enhancement can improve modulation: Intensity on PMMA 1 I Max Intensity on Wafer 1 I Min 0 Position 0 Position 21/74

Multilayer Resist Processing Example of results: Advantages of bilayer processing: Better modulation. Disadvantages of bilayer processing: Increased complexity (cost). 22/74

Multilayer Resist Processing Another example is self-aligned sidewall patterning: Reactive ion etch 23/74

Multilayer Resist Processing Advantages of self-aligned sidewall patterning: Low cost. High resolution. Disadvantages of self-aligned sidewall patterning: Every feature will have the same linewidth Will create loops. 24/74

Multilayer Resist Processing There are many approaches to multi-layer patterning: http://www.annualreviews.org/doi/abs/10.1146/annurev-matsci-082908-145350 25/74

Immersion Lithography Recall that for projection printing the resolution is quantified via the Rayleigh Criterion: f = Focal length R = k 1 λ f d d = Lens diameter k 1 is an experimental parameter associated with the system and resist (0.6 <k 1 < 0.8). Alternatively: NA = d f = nsinα α = maximum half angle of incident light: Numerical aperture R = k 1λ NA n = index of refraction (1 in air). α = Maximum half-angle 26/74

Immersion Lithography The resolution (Rayleigh Criterion) is inversely proportional to the numerical aperture: R 1 NA NA = nsinα R 1 n So can we increase the refractive index of the medium to improve the resolution? This would be equivalent to reducing the wavelength. This is the approach taken in immersion lithography. 27/74

Immersion Lithography The wafer is direction in contact with liquid, which is directly in contact with lens. 28/74

Immersion Lithography The basic operation is shown below: Refractive index of air Refractive index of lens n g Refractive index of fluid n 0 Refractive index of resist n f n r The maximum resolution for a system is determined by the lowest refractive index in the glass/fluid/resist stack. Often this is the fluid. 29/74

Immersion Lithography If the fluid does have the lowest refractive index, the resolution and depth of focus are given by: R = k 1λ NA = k 1λ n f sinα δ = k 2λ NA 2 = k 2 λ n f sinα 2 Or more generally: R = k 1λ nsinα δ = k 2λ nsinα 2 Where: Refractive index of lens Refractive index of fluid n = Min(n g, n f, n r ) Refractive index of resist 30/74

Immersion Lithography Some refractive indices: Material Use Refractive Index SiO 2 Oxide (to etch) 1.56 CaF 2 Lens (for UV) 1.51 Water Immersion Fluid 1.435 Various Resists Resist ~1.70 31/74

Example The best conditions for conventional lithography are typically around the following: k 1 = 0.3. sinα = 0.9. λ = 193 nm (ArF). What improvement in resolution would we expect when employing water-based immersion lithography. First determine resolution with standard lithography: R = k 1λ nsinα = 0.3 193 1 0.9 = 64 nm Refractive index of air 32/74

Example If we use immersion lithography, then we first determine the minimum refractive index: Below the resist is not considered Material Use Refractive Index SiO 2 Oxide (to etch) 1.56 CaF 2 Lens (for UV) 1.51 Water Immersion Fluid 1.435 Various Resists Resist ~1.70 n = Min(n g, n f, n r ) n = Min(1.51, 1.435,1.70) n = 1.435 We then proceed as before: 33/74

Example All other conditions are the same: k 1 = 0.3. sinα = 0.9. λ = 193 nm (ArF). R = k 1λ nsinα = 0.3 193 1.435 0.9 = 45 nm Refractive index of water This gets us down to 45nm feature size. But for VLSI we now need 22nm (or lower)! 34/74

Going Below 45nm We are limited by the minimum refractive index. So we need to increase the refractive of all components together. However we also need the materials to be transparent to UV light (193 nm). Candidate lenses: Material Use Refractive Index CaF 2 Lens 1.51 BaLiF 3 Lens 1.64 Lu 3 Al 5 O 12 - LuAG Lens 2.1 Mg 3 AlSi 3 O 12 Pyrope Lens 2.0 So, we can find lenses which will not be the limiting factor. 35/74

Going Below 45nm Immersion Liquid: 36/74

Summary of Immersion Liquids Nonspecific IFs Region of interest http://www.annualreviews.org/doi/abs/10.1146/annurev-matsci-082908-145350 37/74

Going Below 45nm The difficulty is finding the appropriate immersion fluid (IF). The best we have so far is decalin: This has a refractive index of n = 1.64. R = 37nm. This is the so-called 2 nd generation IF. 38/74

3 rd Generation The current target with immersion lithography is 32 nm. Lens: LuAG (n = 2.1) Resist: n = 1.8. Immersion Fluid: n = 1.9. No candidate material has been for this high refractive index fluid yet. 39/74

Extreme UV-Lithography 40/74

Extreme UV Lithography (EUV) Sometimes called deep UV Lithography (DUV). As before the resolution is determined by the Rayleigh Criterion: f = Focal length d = Lens diameter R = k 1 λ f d = k 1λ NA = k 1λ nsinα The approach is to reduce wavelength. For ArF lasers λ = 193 nm. We aim for λ = 13.4 nm. Currently in development for VLSI. Numerical aperture 41/74

Difficulties: Photoresist: 42/74

Difficulties: Lenses: 43/74

Difficulties: Even air: 44/74

So we Cannot Use Lenses So we cannot use optical lenses. Mirrors have to be employed: Also need a vacuum, to avoid absorption in chamber. 45/74

So we Cannot Use Lenses Multilayer high/low index mirrors used to focus light (50 double layer Mo(2.7nm)/Si(4.0nm)). Mask formed by applying absorber to multilayer mirror. 46/74

EUV Source The EUV source must provide sufficient power at the desired wavelength to yield an adequate wafer throughput for a lithography tool. Producing ~10nm radiation is not trivial. Options include: Laser-produced-plasma (LPP). Synchrotron radiation. High-harmonic generation with femtosecond laser pulses. X-Ray lasers. Electron beam driven radiation devices Most are not capable to 30 60 W of EUV source power at acceptable manufacturing costs. 47/74

EUV Source The EUV source must provide sufficient power at the desired wavelength to yield an adequate wafer throughput for a lithography tool. Producing ~10nm radiation is not trivial. Options include: Laser-produced-plasma (LPP). Synchrotron radiation. High-harmonic generation with femtosecond laser pulses. X-Ray lasers. Electron beam driven radiation devices Most are not capable to 30 60 W of EUV source power at acceptable manufacturing costs. 48/74

EUV Source Laser-produced-plasma (LPP) turns out to be the most promising source. The LPP sources are formed by focusing a pulsed (optical) laser beam onto a solid, liquid, or gas target to produce a bright spark which has broad emission from the visible to the EUV. The LPP produces a point-like source converting 0.8% - 3.8% of the incident laser power into EUV light in the required spectral bandwidth. High-repetition-rate (3 khz) pulsed laser drivers that deliver 1500 W average power to create the Xe plasma are actively being developed commercially. 49/74

Optics Again, because of the extreme wavelength, the choice of mirror requires careful consideration. The materials must be chosen to be highly reflective at the chosen wavelength. Bilayer Mo/Si and Mo/Be are currently most promising. Mirrors must also be extremely flat. Rms roughness λ. Typically total sum of roughness ~ 10 Å E.g. 4 mirrors 2.5Å rms roughness per mirror. 50/74

Masks Masking is in theory relatively straight-forward, since most solids absorb at ~13nm. Mask formed by applying absorber to multilayer mirror. Typically Al, Ti, on the mirror surface. Patterned with e-beam lithography. The challenge for EUV mask blank fabrication is defect reduction. 51/74

Resists There is strong attenuation of 13.4 nm in all organic resist materials. The absorption is atomic (not by the bonds), and will not convert the materials predictably. The radiation will not penetrate through a thick photoresist. Resist must also be stable in a vacuum. Organic resist can also collapse at small linewidths: Pattern collapse on a 70 nm pitch with dose of 1.65 nc/cm 52/74

Resists Inorganic resists are candidates E.g. HfSOx. Advantages of inorganic resists: High etch resistance. Thin layers minimize pattern collapse. High resolution and low line edge roughness. 21 nm Residual material Patterned HfSOx 50 nm 53/74

Electron Beam Lithography 54/74

Electron Beam Lithography As with EUV lithography, the purpose of e - beam lithography is to reduce the wavelength on the incident particles. λ < 1Å. So diffraction is no longer a problem. Pattern direct written into resist by scanning e-beam. Essentially is just like an SEM: On-off capability. Pixilation. Accurate Positioning. 55/74

Research e-beam Lithography Often a modified SEM is employed. When imaging you can damage the material being imaged when using high energies. 1nm spot size. 10nm resolution is routinely achieved. A computer is used to design patterns. PMMA is often used as a resist. 3-5 nm 56/74

Sources Low energy: thermionic source (heated filament). Tungsten. Lanthanum hexaboride (LaB 6 ). High energy: field-emission source. These are reasonably complex, but basically electrons are pulled off of metal by a very large electric field. Require a high vacuum and are difficult to fabricate. 57/74

Electron Wavelength For low velocity electrons the wavelength would be given by the de Broglie Equation: λ = h 2m 0 ev Where: λ = Electron wavelength. h = Planck Constant. e = Charge on electron. V = Accelerating voltage. m 0 = Rest mass of electron. 58/74

Electron Wavelength However, at high voltages we are accelerating electrons to appreciable percentages of the speed of light. So instead we must use the relativistic equation for wavelength: Where: λ = h 2m 0 ev c = Speed of light in vacuum. 1 1 + ev 2m 0 c 2 Relativistic correction 59/74

Example If we accelerate electrons with a 200kV source, what is the difference in wavelength calculated with and without considering special relativity? Start with our non-relativistic equation: λ nr = h 2m 0 ev nr for nonrelativistic V = 200 kv is the only parameter not a fundamental constant: λ nr = 6.63 10 34 2 9.11 10 31 1.602 10 19 2 10 5 λ nr = 2.74 10 12 m λ nr = 2.74pm V = 200 kv 60/74

Example Now consider relativistic equation: r for relativistic λ r = 2.74 10 12 λ r = 1 + h 2m 0 ev λ r = λ nr 1 1 1 + ev 2m 0 c 2 1 + ev 2m 0 c 2 1 1.602 10 19 2 10 5 2 9.11 10 31 1.602 10 19 3 10 8 2 λ r = 2.74 10 12 /1.09 λ r = 2.51 10 12 m λ r = 2.51pm 61/74

Example So the results are: λ nr = 2.74pm λ r = 2.51pm Hence the effect of relativity is non-negligible at these high energies. 62/74

Electron Column After electrons are accelerated they are directed using electromagnetic lenses. Beam blanker is used to divert the electron beam from the optical axis of the column thus preventing electrons from reaching the sample surface. Ability to focus the electron beam limits the resolution. Sample stage is moved mechanically. 63/74

e-beam Lithography for VLSI Advantages: No mask needed computer controlled electro-magnetic lenses define features. Extremely accurate layer registration. Diffraction effects are not a factor. Resolution is good (3-10nm in lab). Disadvantages: Extremely slow throughput (50 slower than optical lithography!). Expensive, and expensive facilities. 64/74

Nanoimprint Lithography 65/74

Nano-Imprint Lithography Nano-Imprint Lithography (NIL) is a very simple concept: A hard mold that contains nanoscale surface-relief features is pressed into a polymeric material cast on a substrate. This creates a thickness contrast in the polymeric material. A thin residual layer of polymeric material is intentionally left underneath the mold protrusions. This acts as a cushioning layer that prevents direct impact of the hard mold on the substrate. 66/74

Nano-Imprint Lithography It s very easy to achieve high-resolution using NIL: 67/74

Step-and-Flash Imprint A variation is called step and flash imprint lithography (SFIL). Uses a transparent mold and UV-curable precursor liquid to define the pattern. Can be carried out at room temperature. 68/74

Mold Fabrication The mold is fabricated using a combination of reactive ion etching and lift-off. The mold is formed of a hard material (such as SiO 2 ). 69/74

Nano-Imprint Lithography Advantages: Low cost of ownership (COO). High precision. Complex patterns are possible. Disadvantages: Reproducibility is low. Contamination (contact with resist). Not industrially scaled (although system do exist). http://www.molecularimprints.com/ 70/74

Adhesion Lithography One example of very low-resolution features. Employ self-assembled monolayers (SAMs): ~nm Can be applied onto the surface of metals. Self-assemble into monolayers. 71/74

Adhesion Lithography 72/74

Adhesion Lithography 73/74

Adhesion Lithography 74/74