Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Similar documents
Fault Modeling. Fault Modeling Outline

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Chapter 2 Fault Modeling

VLSI Design I. Defect Mechanisms and Fault Models

Lecture 5 Fault Modeling

S No. Questions Bloom s Taxonomy Level UNIT-I

ECE 3060 VLSI and Advanced Digital Design. Testing

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling

Advanced Testing. EE5375 ADD II Prof. MacDonald

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Design for Testability

ECE 1767 University of Toronto

Introduction to VLSI Testing

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

Design for Testability

Karnaugh Maps (K-Maps)

EECS 579: Logic and Fault Simulation. Simulation

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

KINGS COLLEGE OF ENGINEERING PUNALKULAM. DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

THE INVERTER. Inverter

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

Logic Synthesis and Verification

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Lecture 16: Circuit Pitfalls

Fault Equivalence, Dominance & Collapsing. Fault Equivalence

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Sample Test Paper - I

COSC3330 Computer Architecture Lecture 2. Combinational Logic

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego

Floating Point Representation and Digital Logic. Lecture 11 CS301

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Lecture 2: CMOS technology. Energy-aware computing

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf

CSE140: Components and Design Techniques for Digital Systems. Decoders, adders, comparators, multipliers and other ALU elements. Tajana Simunic Rosing

Outline Fault Simulation

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

Adders, subtractors comparators, multipliers and other ALU elements

FAULT MODELING. Chapter Defects, Errors, and Faults

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of

Longest Path Selection for Delay Test under Process Variation

Topics to be Covered. capacitance inductance transmission lines

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Synthesis for Testability Techniques for Asynchronous Circuits

Chapter 2 Boolean Algebra and Logic Gates

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Technology Mapping for Reliability Enhancement in Logic Synthesis

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Slide Set 6. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

What Does VLV Testing Detect?

PLA Minimization for Low Power VLSI Designs

Topic 4. The CMOS Inverter

Logic BIST. Sungho Kang Yonsei University

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits.

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

Hardware testing and design for testability. EE 3610 Digital Systems

Chapter 2. Design and Fabrication of VLSI Devices

Introduction to CMOS VLSI Design Lecture 1: Introduction

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Center for Reliable Computing TECHNICAL NOTE. Some Faults Need an IDDQ Test

Lecture 6: Time-Dependent Behaviour of Digital Circuits

Memory, Latches, & Registers

CMOS Transistors, Gates, and Wires

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Delay Testing from the Ivory Tower to Tools in the Workshop

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

MODULE III PHYSICAL DESIGN ISSUES

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Digital Logic

Digital Integrated Circuits A Design Perspective

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

Inadmissible Class of Boolean Functions under Stuck-at Faults

Digital Electronics Part II - Circuits

Generation of High Quality Non-Robust Tests for Path Delay Faults

EC-121 Digital Logic Design

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Where Does Power Go in CMOS?

Advanced VLSI Design Prof. A. N. Chandorkar Department of Electrical Engineering Indian Institute of Technology- Bombay

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

EE141Microelettronica. CMOS Logic

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Digital electronics form a class of circuitry where the ability of the electronics to process data is the primary focus.

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Transcription:

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1

Modeling the effects of physical defects on the logic function and timing Physical Defects Silicon defects Photolithographic defects Mask contamination Process variations Defective oxide Logical Effects Logic s-a-0 or 1 Slower transition (delay faults) AND-bridging, OR-bridging Electrical Effects Shorts (0 resistance) Opens ( resistance) Transistor stuck-on, stuck-open 8 Resistive shorts and opens Change in threshold voltage Copyright 1998 Elizabeth M. Rudnick 2

Physical Defect A B Electrical VDD B A Z GND Logical A B S-A-0 Z Copyright 1998 Elizabeth M. Rudnick 3

Manufacture Mask contamination, dust particles Fabrication area contamination Aging Effects Metal migration Oxide degradation due to trapped charge Handling Electrostatic discharge Copyright 1998 Elizabeth M. Rudnick 4

Why Use Single Stuck-At Fault (SSF) Model? Complexity is greatly reduced. Many different physical defects may be modeled by the same logical stuck-at fault. SSF is technology independent Has been successfully used on TTL, ECL, CMOS, etc. Single stuck-at tests cover a large percentage of multiple stuck-at faults. Single stuck-at tests cover a large percentage of unmodeled physical defects. Copyright 1998 Elizabeth M. Rudnick 5

Irredundant two-level circuit Complete test for SSF also detects all MSF [Kohavi and Kohavi, 1972] Fanout-free circuit Any complete test for SSF detects all double and triple faults [Hayes, 1971] Internal fanout-free circuit (fanout > 1 on primary inputs only) Any complete test for SSF detects greater than 98% of MSF with 5 or fewer faults [Agarwal and Fung, 1981] Copyright 1998 Elizabeth M. Rudnick 6

V DD A B Break F Faulty Good T The break in the gate input to transistor T causes it to remain open Copyright 1998 Elizabeth M. Rudnick 7

A B F good F faulty 0 0 1 1 0 1 0 0 1 0 0 previous F (floating F) 1 1 0 0 Test Sequence for T stuck-open: A,B = 0, 0 then 1, 0 Copyright 1998 Elizabeth M. Rudnick 8

A B Transistor T1 is always conducting T3 Break V DD T1 T2 T4 F Observations: Correct logic function, but degraded logic levels Higher signal transition times, results in a Delay Fault. When A=1, and B=0, transistors T1, T2, and T3 are all conducting resulting in an IDDQ Fault If the open is resistive, IDDQ fault will not happen, but Delay Fault is very likely Copyright 1998 Elizabeth M. Rudnick 9

DDQ A path that draws current from Vdd to ground A = 0 Path from V DD to GND B = 0 Stuck On Copyright 1998 Elizabeth M. Rudnick 10

DDQ Advantages Covers most bridge faults Covers some open faults Higher defect coverage than stuck-at tests Disadvantages Circuit must be designed with low I DDQ Test application slow Some open faults escape I DDQ tests Some timing faults escape I DDQ tests Current threshold has to be empirically established Copyright 1998 Elizabeth M. Rudnick 11

A = 0 B = 0 D = 1 Path from V DD to GND Bridge Simplified Models Wired-AND, Wired-OR More Realistic Models: Bridge resistance V th of successor gates E = 0 C = 1 Copyright 1998 Elizabeth M. Rudnick 12

Slow-to-rise (0 to 1) transition Slow-to-fall (1 to 0) transition Requires a two-pattern sequence <V1, V2> for a slow-to-rise fault on line k: Requires a two-pattern sequence <V1, V2> for a slow-to-fall fault on line k: V1 sets line k to 0 V1 sets line k to 0 V2 tests fault k stuck-at-0 V2 tests fault k stuck-at-0 Copyright 1998 Elizabeth M. Rudnick 13

Model defects that affect the circuit timing (resistive shorts and opens) Transition faults and Gate Delay faults Models slow-to-rise or slow-to-fall transition on logic gate Path Delay Faults (robust and non-robust testing): Models slow-to-rise or slow-to-fall transition on some path(s) from primary input to primary outputs Advantage: covers transition and gate delay faults Disadvantages: test application in non-scan sequential circuits cannot be done at-speed. Number of paths may be (exponentially) large Copyright 1998 Elizabeth M. Rudnick 14

UNSTRUCTURED: functional vectors, ATPG vectors Takes time to get high fault coverage, especially for sequential designs. Hard as today s ASIC designs use embedded logic blocks, memories etc I did not design the whole chip With automated synthesis I do not know the details of my logic STRUCTURED: DFT, BIST Adds additional hardware (increases area) Trade-off between area and test time Copyright 1998 Elizabeth M. Rudnick 15

E Sensitized line for vector t: a line whose logic value is not correct for vector t in presence of a fault Sensitized path for t: a path of sensitized lines A fault is detectable (testable) if vector t that: Excites the fault: produces complemented to correct (faulty) logic value at fault location AND Propagates the faulty effect: it produces a sensitized path to some primary output from faulty location Copyright 1998 Elizabeth M. Rudnick 16

A G/1 D F I B C E H Fault Excitation: Applying a logic value opposite to the stuck-at value at the fault site. Error Propagation: Applying appropriate logic values in the circuit to make the error visible at the primary outputs. ABC = 00x is a test vector for G/1 (G s-a-1). Copyright 1998 Elizabeth M. Rudnick 17

line sensitized to f Primary Inputs f fault Primary Outputs Sometimes a fault f on line l cannot be excited or cannot be propagated or both. Then the fault f is termed untestable. If the fault f is untestable, then the fault f is redundant, i.e., the line l or the associated gate can be removed from the circuit without changing the logic function. Copyright 1998 Elizabeth M. Rudnick 18

If a fault f on line l is untestable, then either the line or the gate can be removed without changing the function. s-a-1 1 s-a-0 0 0 Copyright 1998 Elizabeth M. Rudnick 19

Unintentional redundancies occur due to poorly optimized designs. This can happen for hand designed or synthesized circuits Interconnect of synthesized individually non-redundant logic blocks can create global redundancies a c a b Intentional Redundancies F Duplicated logic for increase in drive, speed (carry logic) Duplicated logic for error detection Additional terms for hazard removal Use of over-designed library cells, e.g., for a 3-to3 to-1 mux,, use 4-to-1 mux b c 0 123 MU 0 123 MU e.g. F = ac + ab + bc Copyright 1998 Elizabeth M. Rudnick 20 V dd

0 s-a -0 1/0 x 1 1/Z Z 1/? a x b x (a) Unobservable (b) Uncontrollable x TriState Untestable D S -a -0 x Clk D Sequentially Redundant Copyright 1998 Elizabeth M. Rudnick 21

A fault 'a' is equivalent to fault 'b' in the logic circuit F, if the logic function F(a) realized in the presence of fault 'a' is identical to the logic function F(b) in presence of fault 'b'. Fault a s-a-0 is equivalent to faults b s-a-0 and c s-a-0 Equivalence is useful in reducing the size of a fault list a b c For n-input gates, need only to consider n+2 faults. Copyright 1998 Elizabeth M. Rudnick 22

Introduce fault f in the network N and reduce the structure to S(N f ). Similarly obtain the structure S(N g ). If S(N f ) = S(N g ) then clearly function N f = N g and therefore f and g are equivalent faults. Structural equivalence implies functional equivalence. Copyright 1998 Elizabeth M. Rudnick 23

s-a-1 s-a-0 s-a-0 s-a-1 equivalent equivalent s-a-1 s-a-1 equivalent s-a-1 equivalent s-a-0 s-a-0 equivalent s-a-0 s-a-1 Copyright 1998 Elizabeth M. Rudnick 24 s-a-0 s-a-0 s-a-1 equivalent not equivalent s-a-0 s-a-0 Equivalence classes obtained may not be maximal, but they are obtained quickly.

Fault collapsing is the process of retaining only one fault from each group of equivalent faults In the 2-input multiplexer there are 18 single fault sites The collapsed list has 10 faults: {A1, B1, C0, C1, E1, F1, G0, H0, I0, I1} A F G I C B D E H Copyright 1998 Elizabeth M. Rudnick 25

ALGORITHM: Insert all possible s-a-0 and s-a-1 faults on every line in the circuit Traverse circuit gates and collapse faults Easy way: depth=1. Can do for higher depth (but it can become exponential) Copyright 1998 Elizabeth M. Rudnick 26

Let T A be the set of all test vectors for fault A and T B be the set of all test vectors for fault B. Then fault A dominates fault B (written B A) iff f A = f B for all vectors in T B. It follows that T B T A A test for B is a test for A. If B is tested, then A is tested. A can be removed. If T B T A then it does not always mean that the boolean functions f A = f B for vectors in T B. T B T A Copyright 1998 Elizabeth M. Rudnick 27

a b c {a/0, b/0, c/0, d/0} d T a/1 = {011} T c/1 = {110} T b/1 = {101} T d/1 = {0xx, x0x, xx0} d/1 dominates a/1, b/1, and c/1 No fault equivalence or dominance relationship exists between a stem and its fanout branches. Dominance does not hold in sequential circuits. Copyright 1998 Elizabeth M. Rudnick 28

A B C A B C Fault-Free Faulty G/1 I = AC + BC 1 (AC + BC) = (A+C)(B+C) = AB + AC + BC All test vectors: ABC 00 00 01 Copyright 1998 Elizabeth M. Rudnick 29 ABC 000 001 010 101

Definition: Given a function Z(x 1, x 2,... x n ), the Boolean Difference of Z with respect to x i is defined as Z(x 1, x 2,... x n ) Z(x 1, x 2,... x n ) It is often written x 2 DZ dx i x i = 0 x i = 1 DZ dx 4 x 3 x 1 x 4 Z = x 1 (x 2 +x 3 ) + x 1 x 4 = Z Z x 4 = 0 x 4 = 1 = [x 1 (x 2 +x 3 )] x 1 (x 2 +x 3 ) + x 1 )] = x 1 Copyright 1998 Elizabeth M. Rudnick 30

Now consider x 4 stuck-at-0. Any test vector for x 4 /0 must satisfy Z Z In addition, the test must satisfy x 4 = 1. x 4 =0 x 4 =1 Therefore, all test vectors satisfy DZ x dx 4 = 1 x 1 x 4 = 1 4 (x 1, x 2, x 3, x 4 ) = (0,-,-,1) Copyright 1998 Elizabeth M. Rudnick 31

x 2 x 3 x 1 x 4 Consider the fault f. Rewrite Z by cutting the wire at f as a 5-variable function Z(x 1, x 2, x 3, x 4, f) = x 1 (x 2 +x 3 ) + f DZ must be 1 for f to propagate to the output df Also express f = F(x 1, x 2, x 3, x 4 ) = x 1 x 4 If f is stuck-at-0, the excitation requirement is F(x 1, x 2, x 3, x 4 ) = 1 Copyright 1998 Elizabeth M. Rudnick 32 f

If f is stuck-at-1 then F(x 1, x 2, x 3, x 4 ) = 0. Therefore, all test vectors are expressed by the equation DZ df f = 1 for f stuck-at-0 DZ f = 1 for f stuck-at-1 df DZ df = x 1 (x 2 +x 3 ) 1 = x 1 + x 2 x 3 Tests for f stuck-at-0 are x 1 x 4 [x 1 +x 2 x 3 )] = x 1 x 4 Copyright 1998 Elizabeth M. Rudnick 33