Sensors and Metrology

Similar documents
Sensors and Metrology. Outline

Fall 2003 EE290H Tentative Weekly Schedule

MEMS Metrology. Prof. Tianhong Cui ME 8254

Instrumentation and Operation

SOLID STATE PHYSICS PHY F341. Dr. Manjuladevi.V Associate Professor Department of Physics BITS Pilani

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

SCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]

Reference nanometrology based on AFM, SEM and TEM techniques

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Integrated measuring system for MEMS

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

CALIBRATION. Calibration. General Principles & Theory, Equipment Considerations. Copyright Caltech 2014

Supplementary Information. Characterization of nanoscale temperature fields during electromigration of nanowires

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Micromachined Chip Scale Thermal Sensor. for Thermal Imaging

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

Advances in Back-side Via Etching of SiC for GaN Device Applications

Nano-Lithography. Edited by Stefan Landis

INTRODUCTION TO SCA\ \I\G TUNNELING MICROSCOPY

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Semilab Technologies for 450mm Wafer Metrology

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Scanning Probe Microscopy

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

Supplementary Methods A. Sample fabrication

MSN551 LITHOGRAPHY II

Graphene Fundamentals and Emergent Applications

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Study of Edgeless TimePix Pixel Devices. Dylan Hsu Syracuse University 4/30/2014

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

ORION NanoFab: An Overview of Applications. White Paper

Nanoholes for leak metrology

Systems Approaches to Estimation Problems in Thin Film Processing

Critical Dimension Uniformity using Reticle Inspection Tool

Supplementary Figure 1 a) Scheme of microfluidic device fabrication by photo and soft lithography,

A Class of Impedance Tomography based Sensors for Semiconductor Manufacturing

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy

Next Time on Parametric Yield. What Drives Worst Case Analysis?

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

Electron-beam SAFIER process and its application for magnetic thin-film heads

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Diagnósticos em Plasmas

Technology Brief 9: Capacitive Sensors

Scanning Tunneling Microscopy and its Application

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

NANONICS IMAGING FOUNTAIN PEN

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

Detection of trace contamination on metal surfaces using the handheld Agilent 4100 ExoScan FTIR

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

CHARACTERIZATION of NANOMATERIALS KHP

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

custom reticle solutions

ALD & ALE Tutorial Speakers and Schedule

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Remote Access to Hi-tech Equipment

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

The most versatile AFM platform for your nanoscale microscopy needs

Lecture 0: Introduction

R. Akram a Faculty of Engineering Sciences, Ghulam Ishaq Khan Institute of Engineering Sciences and Technology, Topi, Pakistan

CUSTOM RETICLE SOLUTIONS

Nanometrology and its role in the development of nanotechnology

CMOS compatible integrated ferroelectric tunnel junctions (FTJ)

Introduction to Scanning Tunneling Microscopy

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Crystalline Surfaces for Laser Metrology

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Sealeze TM SSG515AT2D Static Dissipation Brush Performance in an Operational Environment

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2009 PROBLEM SET #7. Due (at 7 p.m.): Thursday, Dec. 10, 2009, in the EE C245 HW box in 240 Cory.

Program Operacyjny Kapitał Ludzki SCANNING PROBE TECHNIQUES - INTRODUCTION

Advanced course on ELECTRICAL CHARACTERISATION OF NANOSCALE SAMPLES & BIO-CHEMICAL INTERFACES: methods and electronic instrumentation.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Hybrid Wafer Level Bonding for 3D IC

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Wafer-scale fabrication of graphene

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Nanosphere Lithography

SHRINK. STACK. INTEGRATE.

Towards Automatic Nanomanipulation at the Atomic Scale

Overview of EUV Lithography and EUV Optics Contamination

The Removal of Nanoparticles from Nanotrenches Using Megasonics

An account of our efforts towards air quality monitoring in epitaxial graphene on SiC

Thermal measurement a requirement for monolithic microwave integrated circuit design

Technical description of photoelectron spectrometer Escalab 250Xi

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Metrology is not a cost factor, but a profit center

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Transcription:

Sensors and Metrology A Survey 1

Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic waves) pressure and flow (manometers, momentum devices) composition (OES, LIF, RGA, mass Spectroscopy Actinometry) thickness (reflectometry, ellipsometry, scatterometry) smart-dummy wafers and smart substrates 2

Introduction Sensors (and actuators) are key limiting factors in application of control techniques to semiconductor manufacturing sources of difficulty implementation environment (vacuum, clean facilities, etc.) perception that in-situ sensors affect process ex-situ sensors can reduce throughput cost of ownership traditional resistance in industry 3

General Remarks on Sensors modeling is often key part of sensing physical quantity of interest may not be directly measured (ex: OES indirectly contains info about etch process state) thus, sensors are based on a model of the underlying physical process sensors = data + model signal processing needed to reduce noise, improve bandwidth difference between data and information problems sensors require calibration must account for drift other issues - sensor fusion - data compression 4

Key Issues in Sensors some key tradeoffs non-invasive vs. invasive non-destructive vs. destructive in-situ vs. ex-situ speed vs. accuracy noise bias (accuracy) vs. precision (repeatability + reproducibility) a sensor could be inaccurate, (thermocouple readings are off by 4 o K) but the sensor might have good precision, (it is consistently off) precision is often more important for process control modern filtering and estimation methods can be of great use in improved sensing software. 5

Accuracy vs. Precision 6

SIA Road Map Challenges above 45nm (through 2009) 7

SIA Road Map Challenges below 45nm (beyond 2009) 8

The 2004 update Metrology Road Map near term 9

The 2004 update Metrology Road Map long term 10

The 2004 update Lithography Metrology Road Map near term 11

The 2004 update Lithography Metrology Road Map long term 12

CD Metrology CD-SEM is today s pre-eminent technique Electron yield in interaction volume is a function of surface topography (Secant effect) and atomic number. Extracting CD is not so simple... 13

Various Edge Detection Algorithms are in use... 14

CD-SEM is often calibrated with AFM Atomic force Microscopy uses either repulsive forces (sub nm proximity), or weaker attractive forces (a few nm away). Tip tracks surfaces using feedback control. Shape and size of tip is the critical source of errors. Standard features are use to calibrate and de-convolve the tip profile from the measurements. AFM is 100-1000 times slower than a CD-SEM. AFM is sensitive to line-edge roughness. 15

CD-SEM vs. AFM standards 16

CD-SEM cannot see the actual profile, so AFM is used for this purpose... 17

AFM/SEM reading of Dense vs. Isolated Lines AFM SEM dense iso 18

Comparison for Contact holes AFM SEM 19

More CD-SEM vs. AFM comparisons 20

I Optical CD Measurement Not very repeatable Limited spatial resolution Relatively inexpensive 21

Electrical CD Measurement Measurement is very repeatable and fast. Can only be used in conductive layers. Need at least one conductive layer and one insulator. Can be extended for misalignment measurements. ID: XXN Grating Horz Dense W/S = 180/ 180 Grating Vert Dense W/S = 180/ 180 Grating Horz Medium W/S = 180/ 240 Grating Vert Medium W/S = 180/ 240 Grating Horz Iso W/S= 180/ 360 Grating Vert Iso W/S= 180/ 360 Grating Horz Iso W/S= 180/ 1000 Grating Vert Iso W/S= 180/ 1000 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 32 DUT1 Vert DUT2 Vert DUT3 Horz DUT4 Horz VDP DUT5 Horz DUT6 Horz DUT7 Vert DUT8 Vert 31 SEM Lines SEM Lines 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 ID: XXO MEFH MEF CF_LENS coma/flare CD_LIN linearity 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 32 DUT1 Vert DUT2 Vert DUT3 Horz DUT4 Horz VDP DUT5 Horz DUT6 Horz DUT7 Vert DUT8 Vert 31 SEM Lines 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 22

Basic SEM Structure 23

The Many Modes of SEM 24

The Issue of Spatial Resolution 25

Chrome on Silicon Example 26

Signal Depends Strongly on Material 27

Voltage Contrast SEM 28

CD-SEM Resolution Scanning Resolution shown to 1-5nm. CD metrology on resist has 5-10nm precision. Other solutions: ATF, has (theoretically) atomic resolution. Problem: What is CD?? 29

CD-SEM Conclusions Accuracy is several (many) nm Precision (1-σ repeatability + reproducibility) is 1-2nm today CD-SEM is stand-alone (i.e. expensive) CD-SEM measurements are available only after patterning, and data integration with control systems is difficult at best. AFM-based calibration will not be possible for trenches less than ~100nm wide. 30

State of the Art CD/Imaging-SEM 31