Lecture 15: Scaling & Economics

Similar documents
Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Lecture 4: Technology Scaling

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Modern Computer Architecture

ECE321 Electronics I

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Where Does Power Go in CMOS?

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Lecture 16: Circuit Pitfalls

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Today. ESE532: System-on-a-Chip Architecture. Why Care? Message. Scaling. Why Care: Custom SoC

CS 152 Computer Architecture and Engineering

Chapter 2. Design and Fabrication of VLSI Devices

Digital Integrated Circuits 2nd Inverter

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

CMOS Transistors, Gates, and Wires

EE141-Fall 2011 Digital Integrated Circuits

SEMICONDUCTOR MEMORIES

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Lecture 25. Semiconductor Memories. Issues in Memory

Today. ESE534: Computer Organization. Why Care? Why Care. Scaling. ITRS Roadmap

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture #39. Transistor Scaling

MOS Transistor I-V Characteristics and Parasitics

MOSFET: Introduction

Integrated Circuits & Systems

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Future trends in radiation hard electronics

Administrative Stuff

MODULE III PHYSICAL DESIGN ISSUES

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor Theory

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

VLSI Design I. Defect Mechanisms and Fault Models

CIS 371 Computer Organization and Design

Digital Integrated Circuits A Design Perspective

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

MOSFET SCALING ECE 663

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

University of Toronto. Final Exam

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

ECE 497 JS Lecture - 18 Impact of Scaling

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnect s Role in Deep Submicron. Second class to first class

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Chapter 1. Binary Systems 1-1. Outline. ! Introductions. ! Number Base Conversions. ! Binary Arithmetic. ! Binary Codes. ! Binary Elements 1-2

EECS 151/251A Homework 5

Semiconductor Memories

Nanoscale CMOS Design Issues

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

VLSI Design and Simulation

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Lecture 7 Circuit Delay, Area and Power

CSE493/593. Designing for Low Power

Lecture 2: CMOS technology. Energy-aware computing

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

EE895KR. Advanced VLSI Design

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well

CMOS Inverter (static view)

Scaling of Interconnections

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017

Transcription:

Lecture 15: Scaling & Economics

Outline Scaling Transistors Interconnect Future Challenges Economics 2

Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved Moore s Law today 3

Why? Why more transistors per IC? Smaller transistors Larger dice Why faster computers? Smaller, faster transistors Better microarchitecture (more IPC) Fewer gate delays per cycle 4

Scaling The only constant in VLSI is constant change Feature size shrinks by 30% every 2-3 years Transistors become cheaper Transistors become faster and lower power Wires do not improve (and may get worse) Scale factor S Typically S = 2 Technology nodes 5

Dennard Scaling Proposed by Dennard in 1974 Also known as constant field scaling Electric fields remain the same as features scale Scaling assumptions All dimensions (x, y, z => W, L, t ox ) Voltage (V DD ) Doping levels 6

Device Scaling Parameter L: Length W: Width t ox : gate oxide thickness V DD : supply voltage V t : threshold voltage NA: substrate doping β I on : ON current R: effective resistance C: gate capacitance τ: gate delay f: clock frequency E: switching energy / gate P: switching power / gate A: area per gate Switching power density Switching current density Sensitivity W/(Lt ox ) β(v DD -V t ) 2 V DD /I on WL/t ox RC 1/τ CV DD 2 Ef WL P/A I on /A Dennard Scaling 1/S 1/S 1/S 1/S 1/S S S 1/S 1 1/S 1/S S 1/S 3 1/S 2 1/S 2 1 S 7

Observations Gate capacitance per micron is nearly independent of process But ON resistance * micron improves with process Gates get faster with scaling (good) Dynamic power goes down with scaling (good) Current density goes up with scaling (bad) 8

Example Gate capacitance is typically about 1 ff/μm The typical FO4 inverter delay for a process of feature size f (in nm) is about 0.5f ps Estimate the ON resistance of a unit (4/2 λ) transistor. FO4 = 5 τ = 15 RC RC = (0.5f) / 15 = (f/30) ps/nm If W = 2f, R = 16.6 kω Unit resistance is roughly independent of f 9

Real Scaling t ox scaling has slowed since 65 nm Limited by gate tunneling current Gates are only about 4 atomic layers thick! High-k dielectrics have helped continued scaling of effective oxide thickness V DD scaling has slowed since 65 nm SRAM cell stability at low voltage is challenging Dennard scaling predicts cost, speed, power all improve Below 65 nm, some designers find they must choose just two of the three 10

Wire Scaling Wire cross-section w, s, t all scale Wire length Local / scaled interconnect Global interconnect Die size scaled by D c 1.1 11

Interconnect Scaling Parameter w: width s: spacing t: thickness h: height D c : die size R w : wire resistance/unit length C wf : fringing capacitance / unit length C wp : parallel plate capacitance / unit length C w : total wire capacitance / unit length t wu : unrepeated RC delay / unit length t wr : repeated RC delay / unit length Crosstalk noise E w : energy per bit / unit length Sensitivity 1/wt t/s w/h C wf + C wp R w C w sqrt(rcr w C w ) w/h C w V 2 DD Scale Factor 1/S 1/S 1/S 1/S D c S 2 1 1 1 S 2 sqrt(s) 1 1/S 2 12

Interconnect Delay Parameter l: length Unrepeated wire RC delay Repeated wire delay Energy per bit Sensitivity l 2 t wu lt wr le w Local / Semiglobal 1/S 1 sqrt(1/s) 1/S 3 Global D c S 2 D 2 c D c sqrt(s) D c /S 2 13

Observations Capacitance per micron is remaining constant About 0.2 ff/μm Roughly 1/5 of gate capacitance Local wires are getting faster Not quite tracking transistor improvement But not a major problem Global wires are getting slower No longer possible to cross chip in one cycle 14

ITRS Semiconductor Industry Association forecast Intl. Technology Roadmap for Semiconductors 15

Scaling Implications Improved Performance Improved Cost Interconnect Woes Power Woes Productivity Challenges Physical Limits 16

Cost Improvement In 2003, $0.01 bought you 100,000 transistors Moore s Law is still going strong [Moore03] 17

Interconnect Woes SIA made a gloomy forecast in 1997 Delay would reach minimum at 250 180 nm, then get worse because of wires But Misleading scale Global wires 100 kgate blocks ok [SIA97] 18

Reachable Radius We can t send a signal across a large fast chip in one cycle anymore But the microarchitect can plan around this Just as off-chip memory latencies were tolerated 19

Dynamic Power Intel VP Patrick Gelsinger (ISSCC 2001) If scaling continues at present pace, by 2005, high speed processors would have power density of nuclear reactor, by 2010, a rocket nozzle, and by 2015, surface of sun. Business as usual will not work in the future. Attention to power is increasing [Intel] 20

Static Power V DD decreases Save dynamic power Protect thin gate oxides and short channels No point in high value because of velocity sat. V t must decrease to maintain device performance But this causes exponential increase in OFF leakage Major future challenge Dynamic Static [Moore03] 21

Productivity Transistor count is increasing faster than designer productivity (gates / week) Bigger design teams Up to 500 for a high-end microprocessor More expensive design cost Pressure to raise productivity Rely on synthesis, IP blocks Need for good engineering managers 22

Physical Limits Will Moore s Law run out of steam? Can t build transistors smaller than an atom Many reasons have been predicted for end of scaling Dynamic power Subthreshold leakage, tunneling Short channel effects Fabrication costs Electromigration Interconnect delay Rumors of demise have been exaggerated 23

VLSI Economics Selling price S total S total = C total / (1-m) m = profit margin C total = total cost Nonrecurring engineering cost (NRE) Recurring cost Fixed cost 24

NRE Engineering cost Depends on size of design team Include benefits, training, computers CAD tools: Digital front end: $10K Analog front end: $100K Digital back end: $1M Prototype manufacturing Mask costs: $5M in 45 nm process Test fixture and package tooling 25

Recurring Costs Fabrication Wafer cost / (Dice per wafer * Yield) Wafer cost: $500 - $3000 2 Dice per wafer: r 2r N = π A 2A Yield: Y = e -AD For small A, Y 1, cost proportional to area For large A, Y 0, cost increases exponentially Packaging Test 26

Fixed Costs Data sheets and application notes Marketing and advertising Yield analysis 27

Example You want to start a company to build a wireless communications chip. How much venture capital must you raise? Because you are smarter than everyone else, you can get away with a small team in just two years: Seven digital designers Three analog designers Five support personnel 28

Solution Digital designers: Support staff $70k salary $45k salary $30k overhead $20k overhead $10k computer $5k computer $10k CAD tools Total: $70k * 5 = $350k Total: $120k * 7 = $840k Fabrication Analog designers Back-end tools: $1M $100k salary Masks: $5M $30k overhead Total: $6M / year $10k computer Summary $100k CAD tools 2 years @ $7.91M / year Total: $240k * 3 = $720k $16M design & prototype 29