Important challenge for the extension of Spacer DP process

Similar documents
Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Resist material for negative tone development process

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Introduction to / Status of Directed Self- Assembly

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Litho scenario solutions for FinFET SRAM 22nm node

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Patterning Challenges and Opportunities: Etch and Film

SEMATECH Knowledge Series 2010

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Lithography and Etching

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Optical Proximity Correction

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

EE141- Spring 2003 Lecture 3. Last Lecture

Top down and bottom up fabrication

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Fig The electron mobility for a-si and poly-si TFT.

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

nmos IC Design Report Module: EEE 112

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

There s Plenty of Room at the Bottom and at the Top

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Nanoimprint Lithography

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

There's Plenty of Room at the Bottom

EE143 LAB. Professor N Cheung, U.C. Berkeley

Electron-beam SAFIER process and its application for magnetic thin-film heads

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

IC Fabrication Technology

Modeling Random Variability of 16nm Bulk FinFETs

EUVL Readiness for High Volume Manufacturing

Development of Lift-off Photoresists with Unique Bottom Profile

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

ALD-enabled nanopatterning: area-selective ALD by area-activation

Extending the Era of Moore s Law

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Sensors and Metrology. Outline

Carrier Transport by Diffusion

ETCHING Chapter 10. Mask. Photoresist

Cost Implications of EUV Lithography Technology Decisions

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

More on Stochastics and the Phenomenon of Line-Edge Roughness

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Recent progress in nanoparticle photoresist development for EUV lithography

Analysis of carbon contamination on EUV mask using CSM/ ICS

Pattern Transfer- photolithography

Photolithography II ( Part 1 )

Cost of Ownership Considerations for Maskless Lithography

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

High Optical Density Photomasks For Large Exposure Applications

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Chapter 2. Design and Fabrication of VLSI Devices

Tilted ion implantation as a cost-efficient sublithographic

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Critical Dimension Uniformity using Reticle Inspection Tool

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Accurate and Reliable Optical CD of MuGFET down to 10nm

MICROCHIP MANUFACTURING by S. Wolf

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Novel Approach of Semiconductor BEOL Processes Integration

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

Chapter 3 Basics Semiconductor Devices and Processing

CHAPTER 6: Etching. Chapter 6 1

Thin Wafer Handling Debonding Mechanisms

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

Introduction. Photoresist : Type: Structure:

Mask Characterization for Double Patterning Lithography

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Objective: Competitive Low-Cost Thin-Film Varactor Technology. Integrated Monolithic Capacitors using Sputtered/MOCVD material on low-cost substrates

Taurus-Topography. Topography Modeling for IC Technology

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Transcription:

Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1

Outline Background Lithographic scaling by Self-aligned DP(SADP) SADP Applicability -Gridded design for poly-level and metal level Fundamental techniques for DP process Resist slimming process Hole-shrink process Summary 2

Photolithography Trend 1000 800nm 500nm Minimum feature (nm) 100 365nm 248nm 350nm 250nm 180nm 130nm 90nm 193nm 65nm 45nm 32nm 22nm 15nm 11nm 10 1990 1995 2000 2005 2010 2015 2020 year 13.5nm 3

Various DP schemes 1,LELE for line 2,LELE for trench/hole 3,LLE 4,Self-aligned Spacer DP 4

Process scheme of Self aligned DP Post Litho BARC trim SiO2 depo SiO2 Etch-back & core strip H/M Etch PR:80nm BARC:37nm Poly-Si:100nm 40nm hp 20nm hp 5

Pitch Quadrupling Litho 1 st HM etch Depo. Etch-back 2 nd HM etch Depo. Etch-back H/M Etch 45nm hp 23nm hp 11nm hp 23nm Pitch 6

Spacer & Cut Process 40nm hp Photo-resist BARC 20nm hp Poly 20nm Core PR SADP Cut mask CD Shrink Final etch 40nm hp 20nm hp 20nm cut 7

2006/LELE Historical examination results 2009 2010 V. Wiaux et al., imec IIAP (2006) C.bencher, et al., SPIE 7274 (2009) K. Oyama, et al., SPIE 7639 (2010) 8

Spacer & Cut Process for trench pattern Core PR PR slimming Spacer Depo Spacer etchback 2nd PR (Bar) BARC&SiARC etch HM etch PR SiARC=30nm SOH=180nm SiN=50nm 48nm hp Dry slimming Etch back 24nm hp BARC 9

Spacer &Cut process for random layout post Litho. Pitch-doubling Cutting 50nm hp 25nm hp Random cut 10

Image comparison Positive / negative tone resist Positive tone resist Mask Aerial image Negative tone resist Mask Aerial image (Bias+0/Serif+5) (Bias+5/Serif+10) Resist image Resist image 68.9nm 44.7nm NA1.3S0.98CP0.63 XYpolarized 6%attPSM 11

Resolution improvement Posi-type resist + ALD/SiO2 shrink Nega-type resist Cut mask PR (Posi resist) ALD SiO2 depo(6nm) Cut mask PR (Nega resist) 12

Image comparison Positive tone resist Negative tone resist 13

Short Summary ~ 193 Extension 193-i Resolution Limit Pitch-Doubling Pitch-Quadrupling 40nmhp 20nmhp 11nmhp Down scaling for gate 20nmhp for trench 24nmhp for Random 25nmhp Lay-out modification 14

Fundamental techniques for DP 15

CD bias control in DP schemes 1,LELE for line Trim Trim 2,LELE for trench/hole Shrink Shrink 3,LLE Trim Trim 4,Self-aligned DP Trim 16

Effective PR slimming control 1 Process time (a.u.) 2 3 4 A/R=2.4 A/R=3.2 A/R=3.4 A/R=3.5 A/R=4.3 CD=39.8nm CD=28.0nm CD=25.0nm CD=22.8nm CD=18.1nm Height=94.2nm Height=89.2nm Height=84.1nm Height=79.3nm Height=78.4nm 17

Merit of CD bias control (Slimming) Dose control with Slimming Process window 14.0 12.0 10.0 EL(%) 8.0 6.0 4.0 2.0 0.0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 DOF(um) 18

PR slimming for 2D pattern 19

Chemical assist Deposition Tapered Etching Hole shrink Schemes Litho. Chem. Apply Bake Litho. Film depo. Etch back Litho. Taper Etch Strip 20

Control (0nm) Hole-shrink ~ SiO2 deposition SiO2 deposition thickness 5nm 10nm 15nm 25nm CD=65nm CD=55nm CD=45nm CD=35nm CD=15nm 21

CD bias control ~ ALD SiO2 Control : As Litho. Depo = 3nm Depo = 5nm Depo = 10nm Depo = 20nm Depo = 30nm 300.0 250.0 y = 2.0815 x + 12.7766 R 2 = 1.0000 Thickness (Å) Thickness[A] 200.0 150.0 100.0 50.0 0.0 0 10 20 30 40 50 60 70 80 90 100 110 120 130 140 15 cycle# Process cycle# 22

Shrink property comparison control 20nm 25nm 30~35nm 40nm Chemical Assist Y=54.5nm X=118.8nm Y=34.4nm X=93.5nm Y=30.7nm X=81.3nm Y=25.3nm X=54.4nm --- --- SiO2 deposition Y=54.5nm X=118.8nm skip --- --- Y=30.1nm X=87.7nm Y=21.2nm X=79.7nm Y=14.5nm X=65.8nm 23

X-Y diameter linearity Hole size (nm) 140 120 100 80 60 40 20 X Y : Chem. assist : SiO2 depo. 0 0 10 20 30 40 50 Shrink ammount (nm) 24

Pattern transfer reliability (1) Post-Litho. Hole shrink on TEOS Control w/o shrink Chemical Assist SiO2 Depo. 25

Pattern transfer reliability (2) Post-Litho. Hole shrink on TEOS Control w/o shrink Chemical Assist D=47.7nm SiO2 Depo. D=30.4nm 26

Summary H2O base immersion 193nm is still major exposure technique, and will be able to extend lithographic scaling combined with Double Patterning process SADP (Self-aligned spacer DP) can be modified easily to match well with restricted design rule. -SADP & Cut process for island, trench and complex 2D pattern Resist slimming is essential in any DP scheme to maintain wide process window. Hole shrink process with low-temp. SiO2 deposition can control the hole size precisely, and it may reduce the defect after etching. 27

Acknowledgement The author would like to express appreciation to Tokyo Electron / Leading-edge Process Development Center Tokyo Electron AT / Technical Development Center Tokyo Electron Kyushu / SPE process technology dept. Tokyo Electron Tohoku / Development dept. for their support in carrying out the experiments described in this paper. 28

Double Patterning Process TOKYO ELECTRON 29