Evaluation of Mo/Si multilayer for EUVL mask blank

Similar documents
Measurement of EUV scattering from Mo/Si multilayer mirrors

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Customized EUV optics made by optix fab

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Evaluation at the intermediate focus for EUV Light Source

Development of a Mask-Scan EB Mask Writing System

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

EUV Reflectivity measurements on Acktar Sample Magic Black

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

EUV-Technology with Discharge EUV-Lamp"

Design of multilayer X-ray mirrors and systems

EUV lithography and Source Technology

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

EUV and Soft X-Ray Optics

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Search for Nuclear Reaction Products in Gas Phase Experiments - Deuterium Permeation and Absorption -

Application of the GD-Profiler 2 to the PV domain

Preliminary characterization of first multilayer mirrors for the soft X-ray water-window

Nanosphere Lithography

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Multilayer coating facility for the HEFT hard X-ray telescope

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Overview of EUV Lithography and EUV Optics Contamination

EUREKA: A new Industry EUV Research Center at LBNL

We published the text from the next page.

Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

Sample Alignment (2D detector) Part

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Takeo Watanabe Center for EUVL, University of Hyogo

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

Secondary ion mass spectrometry (SIMS)

Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities

Current development status of Shin-Etsu EUV pellicle

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Actinic review of EUV masks: First results from the AIMS EUV system integration

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Auger Electron Spectroscopy (AES)

Soft X-ray multilayer mirrors by ion assisted sputter deposition

PDF created with FinePrint pdffactory trial version

A neutron polariser based on magnetically remanent Fe/Si supermirrors

EUV and Soft X-Ray Optics

A short pulsed laser cleaning system for EUVL tool

FEASIBILITY OF IN SITU TXRF

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Cleaning of Silicon-Containing Carbon Contamination

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

Atomic layer deposition of titanium nitride

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Portable type TXRF analyzer: Ourstex 200TX

Image Degradation from Surface Scatter in EUV Optics

Analysis of carbon contamination on EUV mask using CSM/ ICS

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens

BEUV nanolithography: 6.7 or 11 nm?

Instrumentation and Operation

Efficient EUV source by use of a micro-target containing tin nanoparticles

SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS

AMOR the time-of-flight neutron reflectometer at SINQ/PSI

Development of 2-Dimentional Imaging XAFS System at BL-4

3D Micropatterned Surface Inspired by Salvinia

EUV-collector mirrors for high-power LPP sources

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

MICRO AND NANOPROCESSING TECHNOLOGIES

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Diffractometer. Geometry Optics Detectors

Electrochemical fouling of dopamine and recovery of carbon electrodes

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Electronic Supplementary Information. Experimental details graphene synthesis

Developing Instrumentation for Fabricating and Characterizing Thin Film Aluminum Mirrors

Shanghai Institute of Ceramics, Chinese Academy of Sciences, Dingxi, 1295, Changning,

Supporting Online Material for

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Nanoimprint Lithography

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Band-gap tuning of lead halide perovskites using a sequential deposition process

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Reflectometry with Synchrotron Radiation

Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Development and characterization of 3D semiconductor X-rays detectors for medical imaging

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles

Multilayer optics for next-generation EUVL systems

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Transcription:

Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced Electronics Technologies EUV Lithography Laboratory Contents Background EUV-reflectivity measurement system Multilayer deposition system Evaluation of Mo/Si multilayer Summary

Background high-precision reflectometry of multilayer [dominant factors] wavelength calibration resolution elimination of stray light accuracy and repeatability of detector noise (detector or AMP...) goniometer construction of ASET beamline for EUV reflectometery resolution of grating repeatability of detector multilayer fabrication [dominant factors] high-reflectivity low-defect uniformity development of multilayer deposition system

ASET Beamline 10.5m Source: (NTT Super ALIS) SR light mirror 2 slit mirror grating slit mirror reflectometer

Reflectometer of Beamline 2θarm and detector (Si photodiode) SR scan modes 1) λscan θscan,2θscan 2) θ-2θscan 3) line scan (θ,2θ,λ fixed) sample mask blanks/mirror diameter: 200mmφ thickness: 50mm measurement modes reflectivity(multilayers) transmission(resist film) θarm and sample stage specification reproducability (Reflectivity) < R ±0.5%

EUV Reflectivity Measurement Flow Super-ALIS Io measurement Si photodiode(io) mirror Ring current(io) 13nm grating I measurement Si photodiode(i) Ring current(i) Super-ALIS Mo/Si multilayer mirror 13nm grating Reflectivity= (Si photodiode(i) /Ring current(i)) (Si photodiode(io) /Ring current(io))

Repeatability of Reflectivity-measurement Caused by Detector standard deviation/average value 1 Si photodiode 0.051% 2 ring current 0.016% condition ring current photodiode+amp Io measurement 350 ma 10.0 V I measurement 345 ma 5.91 V repeatability (σ) : 0.060% < 0.5% Specification repeatability

Spectral Resolution Spot diagram at exit slit λ=13nm Measured reflectivity as a function of resolution E/ΔE=4194 Reflectivity (%) 80 60 40 20 0 λ=13.5nm R(%) 10 100 1000 10000 E/ E This grating has enough resolution to measure multilayer reflectivity

Multilayer Deposition System [top-view] SMIF-POD Helicon cathode sputtering chamber [side-view] rotation wafer wafer robot wafer Sputter-up Helicon cathode L/UL chamber aligner transfer chamber cathode cathode

sputtering condition Mo Si Ar Pressure 0.20 Pa 0.07 Pa TEM cross section for (Mo/Si) multilayer surface Measured hard X-ray curve of (Mo/Si) multilayer 1.E+8 Power deposition rate 500W 1kW (DC) (RF) 0.4A /s 0.4A /s Intensity(a.u.) 1.E+6 1.E+4 1.E+2 substrate Si wafer (200mm) substrate 1.E+0 0 5 10 15 2θ (deg.) dark:mo layer light:si layer

EUV Reflectivity of (Mo/Si) Multilayer Reflectivity (%) 70 60 50 40 30 20 10 0 θ /2θ =3 /6 12 12.5 13 13.5 14 wavelength (nm) Reflectivity (%) 70 60 50 40 30 20 10 0 λ =13.2nm θ /2θ =3 /6 R=59.6±1.1% r=0-87mm R=60.2±0.3% r=0-60mm 0 30 60 90 distance from the center, r (mm)

Supersonic Hydro-cleaning Technique Liquid droplets impact into the substrate. Carrier gas Cleaning liquid Droplets (N 2 ) (deionized water) Nozzle designed for supersonic flow Substrate Microscopic phenomenon Side jet Droplet Side jet Twin-fluid supersonic flow Substrate Substrate High-speed side jets flow along the surface. This technique was developed at ASET SPC Laboratory. 5/18

Results before cleaning after cleaning Reflectivity (%) 70 60 50 40 30 20 10 0 12.5 13.0 13.5 14.0 14.5 wavelength (nm)

Summary EUV reflectivity measurement system can be applied to the evaluation of Mo/Si multilayer for mask blanks and mask fabrication process. EUV reflectivity of Mo/Si multilayer which was deposited by helico sputtering was measured using ASET Beamline. reflectivity : R > 60% R=59.6±1.1% r=0- in-plane 87mm distribution R=60.2±0.3% r=0-60mm

Acknowledgements This work is performed under the management of ASET in the program of the Ministry of International Trade and Industry (MITI). ASET is supported by the New Energy and Industrial Technology Development Organization (NEDO). The design and construction of ASET beamline and sputtering system was supported by Shimadzu Corp. and ULVAC JAPAN Ltd., respectively.