ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

Similar documents
Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

COE 328 Final Exam 2008

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

7 Multipliers and their VHDL representation

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Chapter 6. Synchronous Sequential Circuits

Digital Control of Electric Drives

EECS150 - Digital Design Lecture 23 - FSMs & Counters

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1

課程名稱 : 數位邏輯設計 P-1/ /6/11

Last lecture Counter design Finite state machine started vending machine example. Today Continue on the vending machine example Moore/Mealy machines

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Assignment # 3 - CSI 2111(Solutions)

Preparation of Examination Questions and Exercises: Solutions

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Design at the Register Transfer Level

Written exam with solutions IE1204/5 Digital Design Friday 13/

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

CprE 281: Digital Logic

Models for representing sequential circuits

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison

Written exam with solutions IE Digital Design Friday 21/

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

Combinational vs. Sequential. Summary of Combinational Logic. Combinational device/circuit: any circuit built using the basic gates Expressed as

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

Problem Set 6 Solutions

From Sequential Circuits to Real Computers

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Programmable Logic Devices II

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

EECS150 - Digital Design Lecture 25 Shifters and Counters. Recap

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EXPERIMENT Bit Binary Sequential Multiplier


Review for B33DV2-Digital Design. Digital Design

Register Transfer Level

Example: vending machine

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

ECE 341. Lecture # 3

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

Written exam with solutions IE1204/5 Digital Design Monday 23/

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Synchronous Sequential Circuit Design. Digital Computer Design

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

Chapter 9. Counters and Shift Registers. Counters and Shift Registers

CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015

EECS150 - Digital Design Lecture 16 Counters. Announcements

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

From Sequential Circuits to Real Computers

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

Introduction to the Xilinx Spartan-3E

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

Pin Details of Digital Logic Gates:

CSC9R6 Computer Design. Practical Digital Logic

And Inverter Graphs. and and nand. inverter or nor xor

Review for Final Exam

14:332:231 DIGITAL LOGIC DESIGN

EE 209 Logic Cumulative Exam Name:

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

Experiment 4 Decoder Encoder Design using VHDL

Module 10: Sequential Circuit Design

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

FSM model for sequential circuits

University of Guelph School of Engineering ENG 2410 Digital Design Fall There are 7 questions, answer all questions.

ALU A functional unit

Digital Design. Register Transfer Specification And Design

(Boolean Algebra, combinational circuits) (Binary Codes and -arithmetics)

Generalized FSM model: Moore and Mealy

ECE380 Digital Logic. Synchronous sequential circuits

Chapter 3 Digital Logic Structures

Digital Electronics Sequential Logic

LECTURE 28. Analyzing digital computation at a very low level! The Latch Pipelined Datapath Control Signals Concept of State

CSC 322: Computer Organization Lab

EEE2135 Digital Logic Design

EECS150 - Digital Design Lecture 15 SIFT2 + FSM. Recap and Outline

Different encodings generate different circuits

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Laboratory Exercise #11 A Simple Digital Combination Lock

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

Fundamentals of Computer Systems

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

FYSE420 DIGITAL ELECTRONICS

Transcription:

ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University

Required reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM 2

Recommended reading S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design Chapter 8, Synchronous Sequential Circuits Sections 8.1-8.5 Section 8.10, Algorithmic State Machine (ASM) Charts 3

Datapath vs. Controller 4

Structure of a Typical Digital System Data Inputs Datapath (Execution Unit) Control Signals Status Signals Control & Status Inputs Controller (Control Unit) Data Outputs Control & Status Outputs 5

Datapath (Execution Unit) Manipulates and processes data Performs arithmetic and logic operations, shifting/rotating, and other data-processing tasks Is composed of registers, multiplexers, adders, decoders, comparators, ALUs, gates, etc. Provides all necessary resources and interconnects among them to perform specified task Interprets control signals from the Controller and generates status signals for the Controller 6

Controller (Control Unit) Controls data movement in the Datapath by switching multiplexers and enabling or disabling resources Example: enable signals for registers Example: select signals for muxes Provides signals to activate various processing tasks in the Datapath Determines the sequence of operations performed by the Datapath Follows Some Program or Schedule 7

Programmable vs. Non-Programmable Controller Controller can be programmable or non-programmable Programmable Has a program counter which points to next instruction Instructions are held in a RAM or ROM Microprocessor is an example of programmable controller Non-Programmable Once designed, implements the same functionality Another term is a hardwired state machine, or hardwired FSM, or hardwired instructions In this course we will be focusing on nonprogrammable controllers. 8

Finite State Machines Controllers can be described as Finite State Machines (FSMs) Finite State Machines can be represented using State Diagrams and State Tables - suitable for simple controllers with a relatively few inputs and outputs Algorithmic State Machine (ASM) Charts - suitable for complex controllers with a large number of inputs and outputs All of these descriptions can be easily translated to the corresponding synthesizable VHDL code 9

Hardware Design with RTL VHDL Interface Pseudocode Datapath Block diagram Block diagram Controller State diagram or ASM chart VHDL code VHDL code VHDL code 10

Steps of the Design Process 1. Text description 2. Interface 3. Pseudocode 4. Block diagram of the Datapath 5. Interface divided into Datapath and Controller 6. State diagram or ASM chart of the Controller 7. RTL VHDL code of the Datapath, Controller, and Top-Level Unit 8. Testbench for the Datapath, Controller, and Top-Level Unit 9. Functional simulation and debugging 10. Synthesis and post-synthesis simulation 11. Implementation and timing simulation 12. Experimental testing using FPGA board 11

Steps of the Design Process Introduced in Class Today 1. Text description 2. Interface 3. Pseudocode 4. Block diagram of the Datapath 5. Interface divided into Datapath and Controller 6. State diagram or ASM chart of the Controller 7. RTL VHDL code of the Datapath, Controller, and Toplevel Unit 8. Testbench for the Datapath, Controller, and Top-Level Unit 9. Functional simulation and debugging 10. Synthesis and post-synthesis simulation 11. Implementation and timing simulation 12. Experimental testing using FPGA board 12

Finite State Machines Refresher 13

Finite State Machines (FSMs) An FSM is used to model a system that transits among a finite number of internal states. The transitions depend on the current state and external input. The main application of an FSM is to act as the controller of a medium to large digital system Design of FSMs involves Defining states Defining next state and output functions Optimization / minimization Manual optimization/minimization is practical for small FSMs only 14

Moore FSM Output is a Function of the Present State Only Inputs Next State function Next State Present State clock reset Present State register Output function Outputs 15

Mealy FSM Output is a Function of the Present State and the Inputs Inputs Next State function Next State Present State clock reset Present State register Output function Outputs 16

State Diagrams 17

Moore Machine transition condition 1 state 1 / output 1 transition condition 2 state 2 / output 2 18

Mealy Machine transition condition 1 / output 1 state 1 state 2 transition condition 2 / output 2 19

Moore FSM - Example 1 Moore FSM that Recognizes Sequence 10 0 1 0 S0 / 0 1 S1 / 0 1 S2 / 1 reset Meaning of states: S0: No elements of the sequence observed 0 S1: 1 observed S2: 10 observed 20

Mealy FSM - Example 1 Mealy FSM that Recognizes Sequence 10 0 / 0 1 / 0 1 / 0 S0 S1 Meaning of states: reset S0: No elements of the sequence observed 0 / 1 S1: 1 observed 21

Moore & Mealy FSMs without delays clock input 0 1 0 0 0 state S0 S0 S1 S2 S0 S0 Moore output state S0 S0 S1 S0 S0 S0 Mealy output 22

Moore & Mealy FSMs with delays clock input 0 1 0 0 0 state S0 S0 S1 S2 S0 S0 Moore output state S0 S0 S1 S0 S0 S0 Mealy output 23

Moore vs. Mealy FSM (1) Moore and Mealy FSMs Can Be Functionally Equivalent Equivalent Mealy FSM can be derived from Moore FSM and vice versa Mealy FSM Has Richer Description and Usually Requires Smaller Number of States Smaller circuit area 24

Moore vs. Mealy FSM (2) Mealy FSM Computes Outputs as soon as Inputs Change Mealy FSM responds one clock cycle sooner than equivalent Moore FSM Moore FSM Has No Combinational Path Between Inputs and Outputs Moore FSM is less likely to affect the critical path of the entire circuit 25

Moore vs. Mealy FSM (3) Types of control signal Edge sensitive E.g., enable signal of a counter Both can be used but Mealy is faster Level sensitive E.g., write enable signal of SRAM Moore is preferred 26

Which Way to Go? Mealy FSM Moore FSM Fewer states Lower Area Responds one clock cycle earlier Safer. Less likely to affect the critical path. 27

Problem 1 Assuming state diagram given on the next slide, supplement 5ming waveforms given in the answer sheet with the correct values of signals State and c, in the interval from 0 to 575 ns.

1-/0 Reset X -1/0 0-/1-1/0 Y -0/1 Z -0/1

Reset Clk a b State c 0 ns 100 ns 200 ns 300 ns 400 ns 500 ns

Finite State Machines in VHDL 31

FSMs in VHDL Finite State Machines Can Be Easily Described With Processes Synthesis Tools Understand FSM Description if Certain Rules Are Followed State transitions should be described in a process sensitive to clock and asynchronous reset signals only Output function described using rules for combinational logic, i.e. as concurrent statements or a process with all inputs in the sensitivity list 32

Moore FSM process(clock, reset) Inputs Next State function clock reset Next State Present State Register Present State concurrent statements Output function Outputs 33

Mealy FSM process(clock, reset) Inputs Next State function Next State Present State clock reset Present State Register concurrent statements Output function Outputs 34

Moore FSM - Example 1 Moore FSM that Recognizes Sequence 10 0 1 0 S0 / 0 1 S1 / 0 1 S2 / 1 reset 0 35

Moore FSM in VHDL (1) TYPE state IS (S0, S1, S2); SIGNAL Moore_state: state; U_Moore: PROCESS (clock, reset) BEGIN IF(reset = 1 ) THEN Moore_state <= S0; ELSIF rising_edge(clock) THEN CASE Moore_state IS WHEN S0 => IF input = 1 THEN Moore_state <= S1; ELSE Moore_state <= S0; END IF; 36

Moore FSM in VHDL (2) WHEN S1 => IF input = 0 THEN Moore_state <= S2; ELSE Moore_state <= S1; END IF; WHEN S2 => IF input = 0 THEN Moore_state <= S0; ELSE Moore_state <= S1; END IF; END CASE; END IF; END PROCESS; Output <= 1 WHEN Moore_state = S2 ELSE 0 ; 37

Mealy FSM - Example 1 Mealy FSM that Recognizes Sequence 10 0 / 0 1 / 0 1 / 0 S0 S1 reset 0 / 1 38

Mealy FSM in VHDL (1) TYPE state IS (S0, S1); SIGNAL Mealy_state: state; U_Mealy: PROCESS(clock, reset) BEGIN IF(reset = 1 ) THEN Mealy_state <= S0; ELSIF rising_edge(clock) THEN CASE Mealy_state IS WHEN S0 => IF input = 1 THEN Mealy_state <= S1; ELSE Mealy_state <= S0; END IF; 39

Mealy FSM in VHDL (2) WHEN S1 => IF input = 0 THEN Mealy_state <= S0; ELSE Mealy_state <= S1; END IF; END CASE; END IF; END PROCESS; Output <= 1 WHEN (Mealy_state = S1 AND input = 0 ) ELSE 0 ; 40

Algorithmic State Machine (ASM) Charts 41

Algorithmic State Machine Algorithmic State Machine representation of a Finite State Machine suitable for FSMs with a larger number of inputs and outputs compared to FSMs expressed using state diagrams and state tables. 42

Elements used in ASM charts (1) State name Output signals or actions (Moore type) 0 (False) Condition 1 (True) expression (a) State box (b) Decision box Conditional outputs or actions (Mealy type) (c) Conditional output box 43

State Box State box represents a state. Equivalent to a node in a state diagram or a row in a state table. Contains register transfer actions or output signals Moore-type outputs are listed inside of the box. It is customary to write only the name of the signal that has to be asserted in the given state, e.g., z instead of z<=1. Also, it might be useful to write an action to be taken, e.g., count <= count + 1, and only later translate it to asserting a control signal that causes a given action to take place (e.g., enable signal of a counter). State name Output signals or actions (Moore type) 44

Decision Box Decision box indicates that a given condition is to be tested and the exit path is to be chosen accordingly. The condition expression may include one or more inputs to the FSM. 0 (False) Condition 1 (True) expression 45

Conditional Output Box Conditional output box Denotes output signals that are of the Mealy type. The condition that determines whether such outputs are generated is specified in the decision box. Conditional outputs or actions (Mealy type) 46

ASMs representing simple FSMs Algorithmic state machines can model both Mealy and Moore Finite State Machines They can also model machines that are of the mixed type 47

Generalized FSM Based on RTL Hardware Design by P. Chu 48

Moore FSM Example 2: State diagram Reset w = 1 w = 0 A z = 0 B z = 0 w = 0 w = 0 w = 1 C z = 1 w = 1 49

Moore FSM Example 2: State table Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1 50

ASM Chart for Moore FSM Example 2 Reset A 0 w 1 B 0 w 1 C z 0 w 1 51

Example 2: VHDL code (1) USE ieee.std_logic_1164.all ; ENTITY simple IS PORT ( Clock : IN STD_LOGIC ; Reset : IN STD_LOGIC ; w : IN STD_LOGIC ; z : OUT STD_LOGIC ) ; END simple ; ARCHITECTURE Behavior OF simple IS TYPE State_type IS (A, B, C) ; SIGNAL y : State_type ; BEGIN PROCESS ( Reset, Clock ) BEGIN IF Reset = '1' THEN y <= A ; ELSIF rising_edge(clock) THEN 52

Example 2: VHDL code (2) CASE y IS WHEN A => IF w = '1' THEN y <= B ; ELSE y <= A ; END IF ; WHEN B => IF w = '1' THEN y <= C ; ELSE y <= A ; END IF ; WHEN C => IF w = '1' THEN y <= C ; ELSE y <= A ; END IF ; END CASE ; 53

Example 2: VHDL code (3) END IF ; END PROCESS ; z <= '1' WHEN y = C ELSE '0' ; END Behavior ; 54

Mealy FSM Example 3: State diagram Reset w = 1 z = 0 w = 0 z = 0 A B w = 1 z = 1 w = 0 z = 0 55

ASM Chart for Mealy FSM Example 3 Reset A 0 w 1 B z 0 1 w 56

Example 3: VHDL code (1) LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY Mealy IS PORT ( Clock : IN STD_LOGIC ; Reset : IN STD_LOGIC ; w : IN STD_LOGIC ; z : OUT STD_LOGIC ) ; END Mealy ; ARCHITECTURE Behavior OF Mealy IS TYPE State_type IS (A, B) ; SIGNAL y : State_type ; BEGIN PROCESS ( Reset, Clock ) BEGIN IF Reset = '1' THEN y <= A ; ELSIF rising_edge(clock) THEN 57

Example 3: VHDL code (2) CASE y IS WHEN A => IF w = '1' THEN y <= B ; ELSE y <= A ; END IF ; WHEN B => IF w = '1' THEN y <= B ; ELSE y <= A ; END IF ; END CASE ; 58

Example 3: VHDL code (3) END IF ; END PROCESS ; z <= '1' WHEN (y = B) AND (w= 1') ELSE '0' ; END Behavior ; 59

Control Unit Example: Arbiter (1) reset r1 r2 r3 Arbiter g1 g2 g3 clock 60

Control Unit Example: Arbiter (2) Reset 000 Idle 0-- 1-- gnt1 g 1 = 1-0- 1-- 01- gnt2 g 2 = 1 --0-1- 001 gnt3 g 3 = 1 --1 61

Control Unit Example: Arbiter (3) Reset r 1 r 2 r 3 Idle r 1 r 1 gnt1 g 1 = 1 r 2 r 1 r 1 r 2 gnt2 g 2 = 1 r 3 r 2 r 1 r 2 r 3 gnt3 g 3 = 1 r 3 62

ASM Chart for Control Unit - Example 4 Reset Idle r 1 1 0 gnt1 g 1 1 r 1 0 r 2 1 0 gnt2 g 2 1 r 2 0 0 1 r 3 gnt3 g 3 1 r 3 0 63

Example 4: VHDL code (1) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY arbiter IS PORT ( Clock, Reset : IN STD_LOGIC ; r : IN STD_LOGIC_VECTOR(1 TO 3) ; g : OUT STD_LOGIC_VECTOR(1 TO 3) ) ; END arbiter ; ARCHITECTURE Behavior OF arbiter IS TYPE State_type IS (Idle, gnt1, gnt2, gnt3) ; SIGNAL y : State_type ; 64

Example 4: VHDL code (2) BEGIN PROCESS ( Reset, Clock ) BEGIN IF Reset = '1' THEN y <= Idle ; ELSIF rising_edge(clock) THEN CASE y IS WHEN Idle => IF r(1) = '1' THEN y <= gnt1 ; ELSIF r(2) = '1' THEN y <= gnt2 ; ELSIF r(3) = '1' THEN y <= gnt3 ; ELSE y <= Idle ; END IF ; WHEN gnt1 => IF r(1) = '1' THEN y <= gnt1 ; ELSE y <= Idle ; END IF ; WHEN gnt2 => IF r(2) = '1' THEN y <= gnt2 ; ELSE y <= Idle ; END IF ; 65

Example 4: VHDL code (3) END IF ; END PROCESS ; END CASE ; WHEN gnt3 => IF r(3) = '1' THEN y <= gnt3 ; ELSE y <= Idle ; END IF ; g(1) <= '1' WHEN y = gnt1 ELSE '0' ; g(2) <= '1' WHEN y = gnt2 ELSE '0' ; g(3) <= '1' WHEN y = gnt3 ELSE '0' ; END Behavior ; 66

Problem 2 Assuming ASM chart given on the next slide, supplement 5ming waveforms given in the answer sheet with the correct values of signals State, g1, g2, g3, in the interval from 0 to 575 ns.

ASM Chart Reset Idle r 1 1 0 gnt1 g 1 1 r 1 0 r 2 1 0 gnt2 g 2 1 r 2 0 0 1 r 3 gnt3 g 3 1 r 3 0 68

g 3 0 ns 100 ns 200 ns 300 ns 400 ns 500 ns Reset Clk r 1 r 2 r 3 State g 1 g 2

ASM Summary by Prof. Chu ASM (algorithmic state machine) chart Flowchart-like diagram Provides the same info as a state diagram More descriptive, better for complex description ASM block One state box One or more optional decision boxes: with T (1) or F (0) exit path One or more conditional output boxes: for Mealy output 70

71

ASM Chart Rules Difference between a regular flowchart and an ASM chart: Transition governed by clock Transition occurs between ASM blocks Basic rules: For a given input combination, there is one unique exit path from the current ASM block Any closed loop in an ASM chart must include a state box Based on RTL Hardware Design by P. Chu 72

Incorrect ASM Charts Based on RTL Hardware Design by P. Chu 73

Generalized FSM Based on RTL Hardware Design by P. Chu 74

Alternative Coding Styles by Dr. Chu (to be used with caution) 75

Traditional Coding Style process(clock, reset) Inputs Next State function clock reset Next State Present State Register Present State Mealy Output function Moore Output function concurrent statements Mealy Outputs Moore Outputs 76

Alternative Coding Style 1 Process(Present State, Inputs) Inputs Next State function clock reset Process(Present State, Inputs) Mealy Output function Next State Present State Register Moore Output function Process(clock, reset) Present State Process(Present State) Mealy Outputs Moore Outputs 77

oe<=1 oe<=1 oe<=1 RTL Hardware Design by P. Chu oe<=1 Chapter 10 78

79

80

81

82

83

Alternative Coding Style 2 Process(Present State,Inputs) Process(clk, reset) 84

85

86

87