MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Similar documents
Preparation of Examination Questions and Exercises: Solutions

Assignment # 3 - CSI 2111(Solutions)

COE 328 Final Exam 2008

7 Multipliers and their VHDL representation

Sequential Logic Worksheet

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

Problem Set 6 Solutions

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

CHW 261: Logic Design

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Chapter 9. Counters and Shift Registers. Counters and Shift Registers

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

Problem Set 9 Solutions

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing)

Digital Control of Electric Drives

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Experiment 4 Decoder Encoder Design using VHDL

ELCT201: DIGITAL LOGIC DESIGN

Digital Fundamentals

Unit 16 Problem Solutions

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

ENGR4300 Fall 2005 Test 3A. Name. Section. Question 1 (25 points) Question 2 (25 points) Question 3 (25 points) Question 4 (25 points)

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic.

Digital Logic Design - Chapter 4

Written exam with solutions IE1204/5 Digital Design Friday 13/

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop

Roger L. Tokheim. Chapter 8 Counters Glencoe/McGraw-Hill

EECS150 - Digital Design Lecture 23 - FSMs & Counters

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

Different encodings generate different circuits

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1

I. Motivation & Examples

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

Written exam with solutions IE Digital Design Friday 21/

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

Synchronous Sequential Circuit Design. Digital Computer Design

EEE2135 Digital Logic Design

Chapter 13. Clocked Circuits SEQUENTIAL VS. COMBINATIONAL CMOS TG LATCHES, FLIP FLOPS. Baker Ch. 13 Clocked Circuits. Introduction to VLSI

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Sequential Logic Circuits

Chapter 9. Counters and Shift Registers. Counters and Shift Registers

Chapter 9. Counters and Shift Registers. Counters and Shift Registers. Counter Terminology 1. Counter Terminology 2. Counter Modulus.

University of Florida EEL 3701 Fall 2014 Dr. Eric. M. Schwartz Department of Electrical & Computer Engineering Wednesday, 15 October 2014

Sequential Circuits Sequential circuits combinational circuits state gate delay

Digital Electronics Final Examination. Part A

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 7 Sequential Logic

Sequential vs. Combinational

CSE140: Digital Logic Design Registers and Counters

Analysis of clocked sequential networks

Chapter 14 Sequential logic, Latches and Flip-Flops

ENGR-4300 Fall 2008 Test 3. Name SOLUTION. Section 1(MR 8:00) 2(TF 2:00) (circle one) Question I (20 points) Question II (15 points)

CS221: Digital Design. Dr. A. Sahu. Indian Institute of Technology Guwahati

Chapter 5 Synchronous Sequential Logic

EXAMINATION in Hardware Description and Verification

Pin Details of Digital Logic Gates:

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008

Digital Logic Design - Chapter 5

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Clocked Synchronous State-machine Analysis

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Programmable Logic Devices II

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

Digital Integrated Circuits A Design Perspective

Chapter 4. Sequential Logic Circuits

Practice Final Exam Solutions

Lecture 10: Synchronous Sequential Circuits Design

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

Synchronous Sequential Logic Part I. BME208 Logic Circuits Yalçın İŞLER

Synchronous Sequential Logic

Sequential Circuits. CS/EE 3700 : Fundamentals of Digital System Design

CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

CprE 281: Digital Logic

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

FSM model for sequential circuits

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

Digital Circuits ECS 371

Transcription:

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don Troxel Student Name: Problem 1 (40 Points): Problem 2 (42 Points): Problem 3 (18 Points): Total (100 Points): 1 of 10

Problem 1: Counters Consider the following state transition table for a counter. Q2, Q1 and Q0 represent the current state of the counter and N2, N1 and N0 represent the next counter state. Q2 Q1 Q0 N2 N1 N0 0 0 0 0 0 1 0 0 1 0 1 1 0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 1 1 0 1 1 1 1 1 1 1 0 1 (a) Use K-maps to derive the MSP expressions for N2, N1 and N0 as a function of Q2, Q1 and Q0. (12 points) N2 N1 N0 2 of 10

(b) Draw the state transition diagram for the counter in part (a) starting with state 0 (states should be labeled Q2 Q1 Q0). (4 points) 0 0 0 3 of 10

(c) Implement the counter specified in part (a) using three T-Flip Flops (recall that a T-FF has a Toggle input and a Q output). Represent the functions T2, T1 and T0 as a function of Q2, Q1 and Q0 in a standard sum-of-products expression (but do not minimize). (12 points) 4 of 10

(d) Consider the following 12-bit counter implementation using three 74163 counters. Describe the key problem with this implementation and propose a fix. (6 points) V DD (5V) CLK P CL T Q A Q B Q C Q D 163 RCO LD D A D B D C D D P CL T Q A Q B Q C Q D 163 RCO LD D A D B D C D D P CL T Q A Q B Q C Q D 163 RCO LD D A D B D C D D V DD (5V) (e) Briefly explain three major differences between a 74163 and a 74393 counter. (6 points) 1. 2. 3. 5 of 10

Problem 2: VHDL of Sequential Circuits (a) Complete the timing diagram for the following piece of code. Assume Q starts at 1 and that Q is a signal. Assume that all delays are negligible.(8 points) process (reset, enable, clock, D) if (reset = 0 ) then Q <= 0 ; elsif (enable = 1 and clock = 1 ) then Q <=D; else Q <=Q; end process; clock enable reset D Q (b) Complete the timing diagram for the following piece of code. Assume Q starts at 1 and that Q is a signal. Assume that all delays are negligible. (8 points) process (reset, clock) if (clock event and clk = 0 ) then if (reset = 1 ) then Q <=0; elsif (enable = 1 ) Q<=D; end process; clock enable reset D Q 6 of 10

(c) Show the circuit diagram for the following piece of code. Assume that QA and QB are signals. (6 points) process (clock) if (clock event and clock = 1 ) QA <=D; QB <=QA; end process; (d) Complete the timing diagram for the following piece of code. Assume QA and QB are signals. Assume QB is initially 0. Assume that all delays are negligible. (8 points) process (clock, D) if (clock = 0 ) QA <= D; else QA <=QA; if (clock = 1 ) QB<=QA; else QB <=QB; end process; clock D QB 7 of 10

(e) Complete the behavioral description in VHDL for a 74163 counter. The basic template is shown below -- fill in the necessary code to complete the description. Points won t be taken off for minor syntax errors (e.g., missing semicolon, misspelling, etc.) (12 points) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity c74163 is port (LDN, CLRN, P, T, CLK: in std_logic; D : in std_logic_vector (3 downto 0); count : out std_logic_vector (3 downto 0); RCO : out std_logic); end c74163; architecture behavior of c74163 is signal count_internal : std_logic_vector (3 downto 0); begin RCO <= count <= count_internal; process (CLK) if (CLK event and CLK = 1 ) if (CLRN = elsif (LDN = elsif ( end process; end architecture behavior; 8 of 10

Problem 3: Timing and Memory (a) Consider the following sequential circuit. Assume that the setup time for the edge-triggered register is 4ns, hold time is 3ns, the contamination delay is 1ns and propagation delay is 4ns. Identify the key problem with this circuit and propose a solution to fix it without modifying the clock. Assume that In is properly setup and held around the clock edge. (8 points) In D Q D Q Out Clock 9 of 10

(b) Consider the memory chip below (identical to the 6264, except for simplicity, the second enable pin is ignored and assumed to be always asserted). The chip is enabled when E is low. Assume that both the minimum delay and propagation (t c-q )delay for the registers is 1ns. Assume that the time from the transition of the tri-state control input to output being driven to 0 or 1 or Z is 2ns. If either output_enable_b (G) or E is pulled high, the memory chip tri-states the data bus after 4ns. What is the minimum delay before the tristate control that must be added to avoid bus contention? Hint: consider the simple timing shown below where interface transitions from a read access to a write access. (10 points) clk/e D Q output_enable_b E G clk D Q clk DQ clk write_b Delay Determine the minimum required delay for this part 8 W Memory Chip (~ 6264) Data DQ Address clk clock/e output_enable_b write_b Address 10 of 10